Welcome![Sign In][Sign Up]
Location:
Search - traffic light segment

Search list

[VHDL-FPGA-Verilogjtd1

Description: 交通灯实现的源程序,可实现简单的交通灯控制,用七段数码管显示时间-The realization of the source of traffic lights, can be a simple traffic light control, with Seven-Segment LED Display Time
Platform: | Size: 1024 | Author: 老大 | Hits:

[Otherqwe

Description: 紅綠燈展示用七段顯示燈表示道路兩邊的紅綠燈轉換-Seven-Segment display lights display lights on both sides of that road traffic light conversion
Platform: | Size: 1630208 | Author: 王崇吉 | Hits:

[Software Engineeringjiaotongxinhaodengkongzhiqidesheji

Description: 本论文主要介绍了红、绿、黄三色交通信号灯较简单的数字逻辑控制电路设计及其原理。本设计方案由定时器、分频器、扭环形计数器、十进制减法器及七段显示译码器实现交通灯红、黄、绿三色的自动切换,在切换灯光颜色的同时进行时间定时状态的切换,使整个交通灯系统得以按照事先设定的定时时间顺利运转。-This paper focuses on the red, green, yellow three-color traffic signal control of the relatively simple digital logic circuit design and its principles. The design by the timer, divider, twisted ring counter, decimal subtraction device and the seven-segment display decoder to achieve traffic lights red, yellow and green three-color auto-switching, in the light color at the same time switching time of regular state switch, so that the whole traffic light system to time in accordance with pre-set timer running smoothly.
Platform: | Size: 1248256 | Author: 阿杰 | Hits:

[Other Embeded programjiaotongdeng

Description: 交通灯控制器源代码:模块,时钟输入,复位输入,红灯输出,绿灯输出,黄灯输出,七段码管显示输出,七段码管扫描驱动-Traffic light controller source code: the module, the clock input, reset input, output red light, green light out, the yellow light output, seven segment display output tubes, seven segment display tube scan driver ......
Platform: | Size: 1024 | Author: whai | Hits:

[SCM21

Description: 十字路口交通灯的变化规律要求: (1) 南北路口的绿灯、东西路口的红灯同时亮30秒左右。 (2) 南北路口的黄灯闪烁若干次,同时东西路口的红灯继续亮。 (3) 南北路口的红灯、东西路口的绿灯同时亮30秒左右。 (4) 南北路口的红灯继续亮、同时东西路口的黄灯亮闪烁若干次。 (5) 转(1)重复。 通过连接的 七段数码管动态显示绿灯剩余时间-Changes of traffic lights crossroads requirements: (1) North-South crossing the green light, red light intersection at the same time something light about 30 seconds. (2) North and South junctions yellow light flashes several times while crossing the red light continues to light things. (3) North-South crossing the red light, green light while crossing something light about 30 seconds. (4) North-South crossing red lights continue to shine, while the yellow light is flashing something a number of junctions. (5) change (1) repeat. By connecting the seven-segment digital tube remaining time dynamic display of green light
Platform: | Size: 1024 | Author: | Hits:

[OtherEXP7

Description: 1、带倒计时的交通灯控制:将L7、L6、L5作为南北路口的交通灯与PC7、PC6、PC5相连;L2、L1、L0作为东西路口的交通灯与PC2、PC1、PC0相连(方式0)。PA口的PA0~PA6作为输出口(方式0输出)连接7段数码管的段码,PC3、PC4连接数码管的S0,S1来选择显示的位。利用8253产生1秒的中断信号,在中断处理程序中用程序处理10秒延迟和2次黄灯闪烁的问题。 编程使六个灯按交通灯变化规律燃灭,同时数码管显示倒计时的值(10~0,4~0)。 2、带违章拍照功能的交通灯控制:将L7、L6、L5作为南北路口的交通灯与PA7、PA6、PA5相连;L2、L1、L0作为东西路口的交通灯与PA2、PA1、PA0相连。PA口工作在方式1(输出)。利用8253产生1秒的中断信号,在中断处理程序中用程序处理10秒延迟和2次黄灯闪烁的问题。利用单脉冲信号连接到PC6,作为信号输入,由8255产生中断(模拟东西方向上的汽车压黄线),该中断处理程序在东西方向为红灯的时候,令L3灯闪烁一下(周期100ms秒,利用软件延迟,用PA3控制)。编程使六个灯按交通灯变化规律燃灭,同时处理东西方向汽车压黄线问题。 -1, with a countdown traffic light control: L7, L6, L5 as connected to the North-South junction traffic lights and PC7, PC6, PC5 L2, L1, L0 as things junction traffic lights and PC2 PC1 PC0 connected (mode 0). PA0 ~ PA6 PA port as an output port (way 0 output) connected to the 7-segment LED segment code, PC3, PC4 connecting digital tube S0, S1 to select the display bit. 8253 to produce 1 second interrupt signal processing in the interrupt handler using the program for a 10-second delay and the flashing yellow light. Programmed to six lights variation of traffic lights burning off, digital display countdown value (10 ~ 0,4 ~ 0). 2, with a traffic light violation camera functions to control: the L7, L6, L5 as a north-south junction traffic lights connected to PA7, PA6, PA5 L2, L1, L0 as things junction traffic lights and PA2, PA1, PA0 connected. PA port in Mode 1 (output). 8253 to produce 1 second interrupt signal processing in the interrupt handler using the program for a 10-second d
Platform: | Size: 2048 | Author: 天才 | Hits:

[SCMTraffic-Management-System

Description: 该设计利用单片机控制十字路口的红绿灯交替点亮和熄灭,并且 用4只七段LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间和暂缓通行时间-The design of intersection traffic lights using SCM light on and off alternately, and with four seven-segment LED digital display the time remaining intersections in both directions. Can set two key requirements of the passage of time and the suspension of the direction of passage time
Platform: | Size: 63488 | Author: yrz | Hits:

[SCMTraffic

Description: 此十字路口交通灯控制系统,分东西道和南北道,设南北道为A道,东西道为B道。规定:首先,东西路口红灯亮,南北路口绿灯亮,同时开始25s倒计时,以7段数码管显示时间。25s倒计时结束后开始5s倒计时,南北路口的绿灯闪烁,计时到最后2s时,南北路口黄灯亮。完成1次这样的循环需要30s。30s结束后,南北路口红灯亮,东西路口绿灯亮,并重新30s倒计时,依次循环。若有紧急车辆要求通过时,此系统应能禁止普通车辆,而让紧急车辆通过。-This intersection traffic light control system, and North-South Road West and East Road, located north-south road for the A Road, East Road to B Road. Requirements: First, what intersection red light, green light intersection north and south, and 25s countdown began with a 7-segment display time. 5s 25s countdown after the end of the countdown, the green light flashes north-south junction, to the last time 2s, the north-south crossing yellow light. Completion of this cycle requires 1 30s. After the 30s, north-south crossing the red light, green light thing intersection, and re-30s countdown, followed by cycle. If required by emergency vehicles, this system should be able to prohibit ordinary vehicles, and to allow emergency vehicles.
Platform: | Size: 44032 | Author: shenjlong | Hits:

[assembly languageSTACKS-SEGMENT

Description: 汇编语言基于8255a的交通灯的控制实现-traffic light control
Platform: | Size: 3072 | Author: 苏波 | Hits:

[VHDL-FPGA-Verilogtraffic_Light

Description: 模拟十字路口交通灯的VHDL程序,附有用与配合ModelSim的仿真程序。 内容:交通灯设计 (1)A,B方向各有红,黄,绿灯,初始态全为红灯,之后东西方向通车,绿灯灭后,黄灯闪烁,各路口通车时间为30秒,由两个七段数码管计数,当显示时间小于3秒的时候通车方向黄灯闪烁 (2)系统时钟1KHz,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz脉冲,即1秒递减一次,在显示时间小于3秒时,通车方向的黄灯以2Hz的频率闪烁,系统中加入外部复位信号。 (3)用ModelSim做仿真 -VHDL program simulate the crossroads of traffic lights, accompanied with the ModelSim simulation program. : Traffic light design (1) A, B, the direction of each red, yellow, green, and the initial state of all the red, the east-west direction after the opening of the green off, flashing yellow light, the intersection open to traffic for 30 seconds by two seven segment LED count, (2) the opening of the direction of the yellow light flashes when the display time is less than 3 seconds when the system clock 1KHz, flashing yellow light clock requirements for 2Hz, seven segment tubes 1Hz pulse, that is a seconds, decreasing the display time of less than 3 seconds, the opening direction of the yellow light is flashing, the system by adding an external reset signal frequency of 2Hz. (3) with ModelSim simulation
Platform: | Size: 1024 | Author: 陈若耿 | Hits:

[SCMtraffic

Description: 利用单片机,控制七段显示器,利用led模仿十字路口交通灯控制原理-Use of single-chip control segment display, led imitate crossroads traffic light control principle
Platform: | Size: 52224 | Author: wuhui | Hits:

[Othertraffic-light-and-led-segment

Description: 基于FPGA开发板的源代码,交通灯模拟以及数码管同步计时。根据不同的开发板管脚配置可做相应修改。-FPGA-based development board source code, traffic lights synchronized analog and digital timing. Depending on the development board to do pin configuration modified accordingly.
Platform: | Size: 448512 | Author: LIN miao | Hits:

[assembly languagecontrol-systerm-ASM

Description: 基于AT89C51的交通控制系统,以ASM为开发环境。 1.AB方向亮绿灯60s,然后黄灯闪烁3次,每次一秒(亮灭各40ms),红灯40s,同时CD方向红灯65s,绿灯35s,黄灯闪烁3s 2.各路灯用LED模拟显示,同时用七段数码管显示两路的倒计时时间 3.利用键盘可修改灯亮时间 4.PC机设置灯亮时间,利用PC与单片机串口通信实现 -AT89C51-based traffic control system to ASM for the development environment. 1.AB Directional Brightness green 60s, and then the yellow light flashes three times, each time one second (light off each 40ms), red 40s, while the direction of the red CD 65s, green 35s, flashing yellow 3s 2. Various street lights with LED analog display, but with two seven-segment digital display countdown time 3 can be modified using the keyboard lights lit time 4.PC time machine settings using PC serial communication with the MCU
Platform: | Size: 12288 | Author: 宋雪涛 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng

Description: VHDL语言实现模拟交通灯的运行,55s红,5s黄,30s绿灯切换,用led显示,同时在数码管上显示倒计时。内含详细说明以及仿真图-use VHDL language, traffic light and display time on segment-led
Platform: | Size: 1585152 | Author: maxiaobo | Hits:

[hardware designstreetlights-based-on-VHDL

Description: 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了所有功能。-This program is designed in a street FPGA-based control system, having control, sound and light control, traffic control functions, i.e. not only the system can manually set the switch lamp time to complete its work by, also be collected by the physical environment an optical signal and an audio signal lights controlling the work can also be controlled by traffic condition can furthermore fault detection, fault detection of the street, street number and the failure may be displayed by seven-segment the same time, like using a thermistor devices composed of an external circuit, a circuit for detecting a temperature, this circuit has a warning function to ensure the system operates in the normal temperature range. In the traffic control mode using an infrared sensor to detect the position of the target, and to determine the output high and low. The correct result in simulation, the realization of all the features.
Platform: | Size: 19456 | Author: wang | Hits:

[assembly language8、交通灯

Description: //---定义IO口---// #define GPIO_DIG P0 //显示段码端口,74HC573锁存器; #define GPIO_PALCE P1 //数码管片选端口,74HC138译码器; #define GPIO_TRAFFIC P2 //交通灯端口; sbit RED10=P2^0; //上人行道红灯; sbit GREEN10=P2^1; //上人行道绿灯; sbit RED11=P2^2; //上机动车红灯; sbit YELLOW11=P2^3; //上机动车黄灯; sbit GREEN11=P2^4; //上机动车绿灯; sbit RED00=P3^0; //右人行道红灯; sbit GREEN00=P3^1; //右人行道绿灯; sbit RED01=P2^5; //右机动车红灯; sbit YELLOW01=P2^6; //右机动车黄灯; sbit GREEN01=P2^7; //右机动车绿灯;(/ define IO port --- // #define GPIO_DIG P0 // show segment code port, 74HC573 latch; #define GPIO_PALCE P1 // digital segment select port, 74HC138 decoder; #define GPIO_TRAFFIC P2 // traffic light port; Sbit RED10 = P2 ^ 0; // on the street red light; Sbit GREEN10 = P2 ^ 1; // on the sidewalk green light; Sbit RED11 = P2 ^ 2; // on the motor red light; Sbit YELLOW11 = P2 ^ 3; // on the motor vehicle yellow light; Sbit GREEN11 = P2 ^ 4; // on the green car; Sbit RED00 = P3 ^ 0; // right walkway red light; Sbit GREEN00 = P3 ^ 1; // right lane green light; Sbit RED01 = P2 ^ 5; // right motor red light; Sbit YELLOW01 = P2 ^ 6; // right motor vehicle yellow light; Sbit GREEN01 = P2 ^ 7; // right motor green light;)
Platform: | Size: 26624 | Author: 9956 | Hits:

[SCMtraffit

Description: 数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数可分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元,也就是多一个小数点(DP)这个小数点可以更精确的表示数码管想要显示的内容 8段LED在控制系统中应用最为广泛,其接口电路也具有普遍借鉴性。因此,本系统使用8段数码管。(The digital tube is a semiconductor light emitting device. Its basic unit is a light emitting diode. According to the number of digital tube can be divided into seven digital tube and eight digital tube, eight digital tube seven segment digital tube more than a light emitting diode unit is a decimal point (DP) of the decimal point can be a more accurate representation of the digital tube to display the content The 8 segment LED is the most widely used in the control system, and its interface circuit also has universal reference. Therefore, the system uses 8 sections of digital tube.)
Platform: | Size: 486400 | Author: niconi | Hits:

[VHDL-FPGA-Verilogjiaotongdeng_fuza

Description: 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the development platform of Quartus II, the realization of traffic lights controller at intersection is a solution. The Verilog HDL hardware description language is used to describe the frequency divider module of the language program, the control module, the data parsing module, the decoding module and the segment selection and selection module, five modules, and the communication and communication light control circuit is successfully designed through the reasonable connection and coordination of the ports between each module program. In the Quartus II environment, the simulation generates top-level files after downloading in FPGA The EP2C5Q208 device is verified.)
Platform: | Size: 5611520 | Author: 威威谈谈 | Hits:

CodeBus www.codebus.net