Welcome![Sign In][Sign Up]
Location:
Search - sqrt

Search list

[OtherSQRT

Description: 本程序实现标准浮点数开平方SQRT(x)。 入口参数:X在ARGBH1:ARGBL1:EXP1中。 出口参数:SQRT(X)在ARGBH1:ARGBL1:EXP1中。 占用资源:W,STATUS,020H~02CH,两重堆栈-the program open standards float square SQRT (x). Entrance parameters : X in ARGBH1 : ARGBL1 : EXP1 China. Exports parameters : SQRT (X) in ARGBH1 : ARGBL1 : EXP1 China. Occupancy resources : W, STATUS, 020H - 02CH, dual stack
Platform: | Size: 1523 | Author: 杨贵平 | Hits:

[DocumentsSqrt高速算法分析

Description: 介绍了Domm2中sqrt函数的快速算法的分析(英文内容),据说比标准函数快4倍
Platform: | Size: 133236 | Author: giveme20002003@yahoo.com.cn | Hits:

[Embeded-SCM DevelopSQRT

Description: computes the square root of a 16 bit number in pic16c
Platform: | Size: 1024 | Author: zwj | Hits:

[Mathimatics-Numerical algorithmssqrt2series

Description: 一个非常简单的计算高精度sqrt(2)的源代码,计算时间短-a very simple calculation precision sqrt (2) the source code, a short calculation time
Platform: | Size: 1024 | Author: 王润清 | Hits:

[OtherSQRT

Description: 本程序实现标准浮点数开平方SQRT(x)。 入口参数:X在ARGBH1:ARGBL1:EXP1中。 出口参数:SQRT(X)在ARGBH1:ARGBL1:EXP1中。 占用资源:W,STATUS,020H~02CH,两重堆栈-the program open standards float square SQRT (x). Entrance parameters : X in ARGBH1 : ARGBL1 : EXP1 China. Exports parameters : SQRT (X) in ARGBH1 : ARGBL1 : EXP1 China. Occupancy resources : W, STATUS, 020H- 02CH, dual stack
Platform: | Size: 1024 | Author: 杨贵平 | Hits:

[VHDL-FPGA-Verilogfpu_v18

Description: <Floating Point Unit Core> fpupack.vhd pre_norm_addsub.vhd addsub_28.vhd post_norm_addsub.vhd pre_norm_mul.vhd mul_24.vhd vcom serial_mul.vhd post_norm_mul.vhd pre_norm_div.vhd serial_div.vhd post_norm_div.vhd pre_norm_sqrt.vhd sqrt.vhd post_norm_sqrt.vhd comppack.vhd fpu.vhd ***For simulation **** To run the simulation read readme.txt in folder test_bench.-<Floating Point Unit Core> fpupack.vhdpre_norm_addsub.vhdaddsub_28.vhdpost_norm_addsub.vhdpre_norm_mul.vhdmul_24.vhdvcom serial_mul.vhdpost_norm_mul.vhdpre_norm_div.vhdserial_div.vhdpost_norm_div.vhdpre_norm_sqrt.vhdsqrt.vhdpost_norm_sqrt.vhdcomppack.vhdfpu.vhd*** For simulation**** To run the simulation read readme.txt in folder test_bench.
Platform: | Size: 477184 | Author: 陈朋 | Hits:

[source in ebooksqrtforinteger

Description: Did anyone ask for an integer version of sqrt? Following is an implementation I found and adapted. Hope it is useful for somebody. -Did anyone ask for an integer version of sqr Following is not an implementation I found and ada pted. Hope it is useful for somebody.
Platform: | Size: 1024 | Author: 夏志勋 | Hits:

[VHDL-FPGA-Verilogsqrt

Description: verilog 硬件平方根算法 采用与笔算平方根一样的算法-Verilog hardware and written calculation algorithm uses the square root of the square root of the same algorithm
Platform: | Size: 17408 | Author: lizhizhou | Hits:

[assembly languagesqrt

Description: 入门级的开方程序,CC4.1,ASM,VC33用-Prescribing procedures for entry-level, CC4.1, ASM, VC33 use
Platform: | Size: 3072 | Author: 鬼羽子 | Hits:

[Windows DevelopExample_Sqrt

Description: 比库函数快得多的开平法算法,摘自鬼佬代码-A last sqrt algorithm , better than the standard lib
Platform: | Size: 1024 | Author: lin hua shang | Hits:

[VHDL-FPGA-Verilogref-sqroot

Description: 这是用于VHDL的开方运算,大家试试看,能不能好用-sqrt
Platform: | Size: 39936 | Author: 李刚 | Hits:

[ActiveX/DCOM/ATLComplexFunction

Description: 本程序包括大部份复数处理函数:abs()、arg()、conj()、sin()、cos()、sinh()、cosh()、exp()、log()、log10()、norm()、polar()、pow()、sqrt()等。-look it yourself.
Platform: | Size: 1010688 | Author: 雾水葛 | Hits:

[Software Engineeringsqrt

Description: 求解正数的算数平方根,非c语言自带函数!-Solution is the square root of the number of counts, non-c language of its own function!
Platform: | Size: 32768 | Author: 细胞 | Hits:

[Embeded-SCM Developsqrt

Description: 自己写的算平方根的函数sqrt,并且用C库的sqrt进行了验证,十分精确。可以用在没有math库的情况,尤其是嵌入式程序中。-My own function of the square root of the operator sqrt, and use C library sqrt verified, highly accurate. It can be used in case there is no math library, in particular the embedded program.
Platform: | Size: 6144 | Author: thatguy | Hits:

[ActiveX/DCOM/ATLcalc1.3

Description: single app that implements a calculator that evaluates an expression written in natural way. For example 3+5*(sqrt(9)) where sqrt y square root. any question? write me at croccifixio29@hotmail.com-single app that implements a calculator that evaluates an expression written in natural way. For example 3+5*(sqrt(9)) where sqrt y square root. any question? write me at croccifixio29@hotmail.com
Platform: | Size: 60416 | Author: CROCCIFIXIO | Hits:

[assembly languagesqrt(x)

Description: 用汇编语言及牛顿迭代法编写的求一个数的平方根的源代码,环境windlx-Using assembly language and the Newton iterative method for the preparation of a number of the square root of the source code, the environment windlx
Platform: | Size: 2048 | Author: 沐夕 | Hits:

[DSP programsqrt

Description: TI280XDSP上实现的32位开方程序,可以移植到其他DSP模块中。-TI280XDSP to achieve 32-bit prescribing procedures, can be transferred to other DSP module.
Platform: | Size: 1024 | Author: hutian | Hits:

[Com Portsqrt

Description: it is a sqrt module ,with test bench.
Platform: | Size: 1024 | Author: wugang | Hits:

[VHDL-FPGA-Verilogsqrt

Description: This zip file contains the verilog source code for square root calculation and its test bench
Platform: | Size: 2048 | Author: Jaganathan | Hits:

[DSP programsqrt

Description: 实现对32位定点数的开方,60M主频28015硬件下运行时间小于10us-function of sqrt
Platform: | Size: 1024 | Author: 刘东 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net