Welcome![Sign In][Sign Up]
Location:
Search - spi flash fpga

Search list

[Embeded-SCM Developmicroblazecode

Description: XILINX FPGA的MICROBLAZE处理器的SPI FLASH loader程序-XILINX FPGA the MicroBlaze processor SPI FLASH loader program
Platform: | Size: 11264 | Author: fg | Hits:

[VHDL-FPGA-VerilogXil3S1800ADSP_Rev1_serial_flash_config_v10.1.03.zi

Description: spartan3adsp spi flash-spartan3adsp spi flash loader
Platform: | Size: 648192 | Author: ye | Hits:

[VHDL-FPGA-VerilogFPGA_SPI_FLASH

Description: 本应用指南讲述 Spartan-3E 系列中的串行外设接口 (SPI) 配置模式。SPI 配置模式拓宽了 SpartanTM-3E 设计人员可以使用的配置解决方案。SPI Flash 存储器件引脚少、封装外形小而 且货源广泛。本指南讨论用 SPI Flash 存储器件配置 Spartan-3E FPGA 所需的连接,并且介绍 SPI 模式的配置流程。本指南还提供一种实用工具,用于在原型开发过程中对选定的 STMicroelectronics 和 Atmel SPI 器件进行在系统编程。-Application Guide on the Spartan-3E series of serial peripheral interface (SPI) configuration mode. SPI configuration mode broadened SpartanTM-3E designers can use to configure the solution. SPI Flash memory-pin small package and supply a wide range of small form factor. Discussed in this Guide SPI Flash memory with Spartan-3E FPGA configuration required to connect, and introduced the SPI mode configuration process. This guide also provides a practical tool for the prototype development process in selected STMicroelectronics and Atmel SPI programmable devices in the system.
Platform: | Size: 371712 | Author: xth | Hits:

[VHDL-FPGA-Verilogspiflashcontroller_latest.tar

Description: This VHDL module implements a state controller for a serial (SPI) Flash ROM
Platform: | Size: 721920 | Author: mahmoud | Hits:

[VHDL-FPGA-VerilogfpgaConfig_V1_2_SFLASH_20090507a

Description: 自己写的一个使用单片机配置FPGA的下位机C代码,使用一个C8051F330,外置SPI FLASH,通过串口可将程序写入FLASH,上电时自动加载到FPGA完成配置。-Wrote it myself, using a microcontroller to configure FPGA code for the next bit plane C, using a C8051F330, external SPI FLASH, the program is written through the serial port can be FLASH, power-on automatically loaded into the FPGA to complete the configuration.
Platform: | Size: 2388992 | Author: 胡小平 | Hits:

[VHDL-FPGA-VerilogNios_II_SPI

Description: 本源码为Nios II的开发示例,主要演示Nios II的SPI总线设计。开发环境QuartusII。 本示例十分经典,对基于SOPC开发的FPGA初学者有很大帮助。-The source code for the Nios II development of an example, the main demonstration Nios II design of the SPI bus. Development environment QuartusII. This example is very classic, FPGA-based SOPC development of great help for beginners.
Platform: | Size: 16035840 | Author: huangshengqun | Hits:

[OtherFPGA_SPI_and_SPI_flash

Description: 关于SPI 方式FPGA配置和SPI flash编程的资料-FPGA configuration mode on the SPI and the SPI flash programming information
Platform: | Size: 465920 | Author: dpa | Hits:

[VHDL-FPGA-VerilogSPIFlash_Emulation_Fusion_DF

Description: actel的SPI功能模块,可以控制存储器-actel fpga spi control flash memory
Platform: | Size: 9216 | Author: zhouwj | Hits:

[VHDL-FPGA-VerilogSPI-Flash

Description: 基于Xilinx-SPartan 3an FPGA 的与单片机 SPI 接口 参考设计-SPI interface base of Xilinx Spartan 3AN kid
Platform: | Size: 2592768 | Author: saladin | Hits:

[VHDL-FPGA-VerilogAltera-memory

Description: 这个软件是altera 芯片对SPIflash的一个控制程序,里面读写测试已经通过。-spi flash code for VHDL
Platform: | Size: 125952 | Author: 周明 | Hits:

[VHDL-FPGA-Verilogug230.pdf

Description: The Spartan-3E Starter Kit board highlights the unique features of the Spartan-3E FPGA family and provides a convenient development board for embedded processing applications. The board highlights these features: • Spartan-3E FPGA specific features • Parallel NOR Flash configuration • MultiBoot FPGA configuration from Parallel NOR Flash PROM • SPI serial Flash configuration • Embedded development • MicroBlazeTM 32-bit embedded RISC processor • PicoBlazeTM 8-bit embedded controller • DDR memory interfaces
Platform: | Size: 5851136 | Author: Akalu Lentiro | Hits:

[Software EngineeringSPI_Flash_Config_Xilinx_FPGA_AN_C

Description: xilinx FPGA 平台的SPI flash 配置-SPI flash config for Xilinx FPGA platform
Platform: | Size: 318464 | Author: wuxucheng | Hits:

[VHDL-FPGA-VerilogDEMO_N

Description: FPGA NOISII程序,包含串口,FLASH,SPI等各种接口的程序,由原理图和VERLOG语言混合编写,非常适合初学者,开发环境为QUARTUS 9.0,芯片为EP2C208QC8N-The the FPGA NOISII program, including serial, FLASH, SPI, interface program, the schematic and VERLOG language prepared by mixing, ideal for beginners, development environment QUARTUS 9.0 chips for EP2C208QC8N
Platform: | Size: 16405504 | Author: 黄小波 | Hits:

[VHDL-FPGA-VerilogFLASH_PCB

Description: M25P64-SPI-FLASH芯片的FPGA控制程序,已仿真验证-M25P64- SPI- FLASH chip FPGA control program, simulation
Platform: | Size: 15890432 | Author: jason | Hits:

[Otherspi_rdid

Description: FPGA 读取 N25Q128 SPI flash ID verilog程序(FPGA read spi flash n25q128 Read Identification)
Platform: | Size: 2013184 | Author: 在所不辞 | Hits:

[VHDL-FPGA-Verilogxapp1257-multiboot-fallback-spi-flash

Description: 基于外部flah的FPGA多重启动程序,在应用程序启动失败时能自动返回到基础程序(A FPGA multiple startup program based on external flah that automatically returns to the underlying program when the application startup fails)
Platform: | Size: 6937600 | Author: 骄傲的小龙虾 | Hits:

[VHDL-FPGA-Verilog12_flash_test

Description: 对W25Q128的读写操作,spi 0 模式(read and write flash W25Q128)
Platform: | Size: 1677312 | Author: sdayd | Hits:

[VHDL-FPGA-Verilogspi_master

Description: SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。? CPU芯片与FPGA通过SPI接口进行通信。? 其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(The read and write erasure commands of the serial flash communicate through the SPI interface. The CPU chip communicates with the FPGA through the SPI interface. Other functional integrated circuit chip parameters register configuration. For example,there are many registers in the DAC chip (because the chip has many functions. We need to configure it by setting up different registers to open or close the corresponding functions, and initializing registers on the battery. FPGA also reads the data by configuring the chip, and configures the SRAM inside the FPGA. FPGA is a serial FLASH read the data inside,read check after all the configuration to the FPGA SRAM to). The speed is faster than the serial port, and it is synchronous transmission.)
Platform: | Size: 3389440 | Author: 小云子 | Hits:

[VHDL-FPGA-VerilogflashZ

Description: FPGA控制m25p16flash芯片读写控制spi协议 可实现擦除写入读出功能(SPI protocol for read and write control of m25p16 flash chip controlled by FPGA Erase Write-Read Function)
Platform: | Size: 724992 | Author: SHNSHiNe | Hits:

CodeBus www.codebus.net