Welcome![Sign In][Sign Up]
Location:
Search - sin function

Search list

[Other函数发生器

Description: c8051f020产生的函数发生器-----产生sin函数通过查表的方法-C8051f020 the function generator----- produce sin function through the look-up table
Platform: | Size: 6144 | Author: | Hits:

[Software Engineeringsin函数发生程序

Description: 正弦函数发生程序,可以在C中嵌套,是工程文件 测试通过 -sine function occurred procedures, which can be nested in C, engineering document the test
Platform: | Size: 16384 | Author: 欧阳明星 | Hits:

[GUI Developdraw-sin-graph

Description: 一个使用VB完成的函数曲线绘图小程序,可以实现基本的三角函数绘制,如正弦,余弦,正切等-a VB completed mapping function curves small programs, can achieve a basic trigonometry mapping, such as sine, cosine, tangent, etc.
Platform: | Size: 1024 | Author: 杨沧海 | Hits:

[DSP programsintable

Description: 在C54X DSP下实现查找表功能,可查找sin函数一个周期的查找表!-under the C54X DSP Lookup Table function, sin function can be found in a cycle lookup table!
Platform: | Size: 6144 | Author: 张有鹏 | Hits:

[DSP programsin

Description: 用dsp实现sin函数的功能,用汇编语言编写。 -Dsp function with the function of the realization of sin, with the compilation of languages.
Platform: | Size: 17408 | Author: 黄黄 | Hits:

[source in ebooksin

Description: 使用次小软件能方便的得到正弦函数的坐标点-The use of software can make it easier for small times the sine function to be the coordinates of points
Platform: | Size: 4096 | Author: ouguoqiang | Hits:

[Delphi VCLsin

Description: 采用delphi自编的sin函数傅立叶离散数据数据表生成器,正弦函数发生器查表输出;-Using the sin function delphi own discrete Fourier data table generator, sine function generator look-up table output
Platform: | Size: 172032 | Author: 张诚 | Hits:

[MPISin(x)Integral

Description: 计算sin(x)的并行程序,也可以将函数f换里面的sin(x)换成其它要积分的函数.-Calculation of sin (x) of the parallel program can also be inside the function f for sin (x) should be replaced by other points of the function.
Platform: | Size: 2048 | Author: 金炳旭 | Hits:

[DSP programsin-wave

Description: 在simulator中,对于初学者,1.掌握DSP求正弦函数值以及产生正弦波信号的基本方法; 2.熟悉子程序调用的程序结构 -In the simulator, for the beginner, 1. Seeking to master DSP sine function values, and generate the basic method of sine wave signal 2. Familiar with the subroutine calls the program structure
Platform: | Size: 80896 | Author: 臧寿鸿 | Hits:

[matlabsin-fft

Description: 注释非常详细的FFT变换,用matlab实现两个正弦函数的区分,初学着都能看懂-Notes very detailed FFT transform, with the achievement of the two sine function matlab distinction, the novice can understand
Platform: | Size: 1024 | Author: 王冰 | Hits:

[Windows Developsin

Description: 一个能够求函数正弦和余弦值的vc程序源代码。-Seeking function of a sine and cosine values of vc source code.
Platform: | Size: 1871872 | Author: zgeagle | Hits:

[VHDL-FPGA-Verilogcustom_cordic

Description: verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set, and perfect TESTBENCH.
Platform: | Size: 119808 | Author: yangyu | Hits:

[Communication-Mobilesin

Description: 正玄函数的功能包括它的频率和幅度以及与它相关的相位问题的-Hyun function is the function including its frequency and amplitude and phase associated with it problems
Platform: | Size: 1659904 | Author: 刘小洋 | Hits:

[Embeded-SCM Developsin()

Description: 正弦波软件生成函数(sin()陈任),可以生成幅度可调节,频率可调节的正弦波输出,可用于DSP-Software generated sine function (sin () Chen Ren)
Platform: | Size: 11264 | Author: 陈任 | Hits:

[VHDL-FPGA-Verilogddfs

Description: vhdl编的dds函数发生器,完成sin(x)曲线的生成-vhdl function generator dds compiled to complete the sin (x) curve is generated
Platform: | Size: 91136 | Author: 王晓虎 | Hits:

[VHDL-FPGA-Verilogcordic1

Description: 该程序使用VHDL编程语言,利用cordic算法来计算cos,sin函数值-The program uses the VHDL programming language, use cordic algorithm to calculate cos, sin function value
Platform: | Size: 4096 | Author: 王丽 | Hits:

[DSP programspectrum_analysis-of-sin-function

Description: spectrum_analysis of sin function that plot the sin function as well as it analyze the spectrum in simple way
Platform: | Size: 1024 | Author: abinashkaji | Hits:

[Other自定义sin函数值计算器

Description: 自定义sin函数值计算器,使用C#进行计算器的编写,控制台输出(Custom sin function value calculator)
Platform: | Size: 40960 | Author: Hkhan | Hits:

[matlabsin function

Description: 利用神经网络的实现一般函数的例题,该例题能够很好很简单地实现sin 函数的实现(implementation of sin function)
Platform: | Size: 10240 | Author: 伊始 | Hits:

[matlab1

Description: x=sin(100*pi*t)+sin(200*pi*t)+sin(400*pi*t)(Sin function image image)
Platform: | Size: 1024 | Author: 洛城千年 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 19 »

CodeBus www.codebus.net