Welcome![Sign In][Sign Up]
Location:
Search - sigma delta converter

Search list

[Other262-45526-LTC2453

Description: Easy-to-Use, Ultra-Tiny, Differential, 16-Bit Delta Sigma ADC With I2C Interface The LTC2453 is an ultra-tiny, fully differential, 16-bit, analog-to-digital converter. The LTC2453 uses a single 2.7V to 5.5V supply and communicates through an I2C interface. The ADC is available in an 8-pin, 3mm x 2mm DFN package. It includes an integrated oscillator that does not require any external components. It uses a delta-sigma modulator as a converter core and has no latency for multiplexed applications. The LTC2453 includes a proprietary input sampling scheme that reduces the average input sampling current several orders of magnitude lower than conventional delta-sigma converters. Additionally, due to its architecture, there is negligible current leakage between the input pins.
Platform: | Size: 273985 | Author: Lee Ka Wing | Hits:

[Other262-45526-LTC2453

Description: Easy-to-Use, Ultra-Tiny, Differential, 16-Bit Delta Sigma ADC With I2C Interface The LTC2453 is an ultra-tiny, fully differential, 16-bit, analog-to-digital converter. The LTC2453 uses a single 2.7V to 5.5V supply and communicates through an I2C interface. The ADC is available in an 8-pin, 3mm x 2mm DFN package. It includes an integrated oscillator that does not require any external components. It uses a delta-sigma modulator as a converter core and has no latency for multiplexed applications. The LTC2453 includes a proprietary input sampling scheme that reduces the average input sampling current several orders of magnitude lower than conventional delta-sigma converters. Additionally, due to its architecture, there is negligible current leakage between the input pins.
Platform: | Size: 273408 | Author: Lee Ka Wing | Hits:

[VHDL-FPGA-VerilogFPGA-based-DAC

Description: 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulator and a one bit DAC. Since, both of these components can be realized using digital circuits, it is possible to implement a low precision Delta-Sigma DAC using a PLD.-Using FPGA to achieve the DA converter, has descriptions and source code, VDHL document. A PLD Based Delta-Sigma DACDelta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinaryperformance and low cost of today s audio CDplayers. The simplest Delta-Sigma DAC consists of aDelta-Sigma modulator and a one bit DAC. Since , both of these components can be realized usingdigital circuits, it is possible to implement a lowprecision Delta-Sigma DAC using a PLD.
Platform: | Size: 58368 | Author: 开心 | Hits:

[Special EffectsTRNG_on_SigmaDelta_Modulator_Thesis

Description: The intention of the work is to analyze the feasibility of using a Sigma-Delta modulator based analog-to-digital converter for true random number generation, whereas the noise source is integrated into modulator’s loop as well as design a Sigma-Delta modulator ADC circuit structure on 90nm CMOS technology and additional digital-signal processing blocks for generation of a random bit stream
Platform: | Size: 1990656 | Author: Hassan Abdelaziz | Hits:

[Embeded Linuxanalog-to-digitalconversionofthespecificationsandp

Description: 这份术语表定义了TI公司的delta-sigma、逐次逼近型和流水线模数转换器,并详细说明他们的规格和性能特点。-approximation register (SAR), and pipeline analog-to-digital (A/D) Converter specifications and performance characteristics. Although there is a considerable amount of detail in this document, the product data sheet for a particular product specification is the best and final reference. To download or view a specific data converter product data sheet, see the Texas Instruments
Platform: | Size: 1692672 | Author: 千里 | Hits:

[matlabADC15_Matlab

Description: sigma-delta ADC的信噪比等的计算-the calculation of SNR、SNDR and so on of sigma-delta ADC
Platform: | Size: 11264 | Author: 史小凤 | Hits:

[BooksSigma_delta

Description: Delta-Sigma转换器是采用超采样的方法将模拟电压转换成数字量的1位转换器,它由1位ADC、1位DAC与一个积分器组成,见图1。Delta-Sigma转换器的优点表现在低成本与高分辨率,适合用于现在的低电压半导体工业的生产-Delta-Sigma converters are super-sampling method to convert the analog voltage of a digital converter, which consists of an ADC, 1 Wei DAC with an integrator component, shown in Figure 1. Delta-Sigma converter performance advantages of low-cost and high-resolution, suitable for use in the current production of low-voltage semiconductor industry
Platform: | Size: 116736 | Author: sherry | Hits:

[Internet-Networkslaa254

Description: This application report describes the implementation of an altimeter using a fullyintegrated MCU solution with the MSP430F42x0 family of microcontrollers. An air pressure sensor is directly interfaced with the MCU-integrated 16-bit sigma/delta data converter and used for altitude determination. Other implemented functions are air pressure measurement, temperature measurement and real-time clock
Platform: | Size: 106496 | Author: liyanfu | Hits:

[VHDL-FPGA-Verilogdeltasigmaconverter

Description: this code for delta to sigma converter-this is code for delta to sigma converter
Platform: | Size: 2048 | Author: rakhi | Hits:

[Linux-UnixPCM1780

Description: pcm1780 24-Bit, 192-kHz Sampling, Enhanced Multilevel, Delta-Sigma, Audio Digital-to-Analog Converter
Platform: | Size: 288768 | Author: dj | Hits:

[SCMAD7705

Description: 基于16位ADC,AD7705的称重系统设计,以单片机89s52为数据处理和控制模块,1602为显示模块-Precision Weigh Scale Design Using the AD7192, 24-Bit Sigma-Delta ADC with Internal PGA, as AD converter and MCU 89s52 as data processer and controller
Platform: | Size: 861184 | Author: GaryLee | Hits:

[SCMAD7142

Description: 带片内环境校准的电容/数字转换器(CDC)转换速率30Hz,优于1fP的分辨率,能和外接电容传感器接口,有14个输入,可通过开关阵列改变成16位240kHz Sigma-Delta电容/数字转换器-With on-chip environmental calibration of the capacitor/digital converter (CDC) conversion rate of 30Hz, better than 1fP resolution, energy and external capacitor sensor interface, 14 inputs, can be changed to 16-bit switch array 240kHz Sigma-Delta Capacitance/digital converter
Platform: | Size: 872448 | Author: shang | Hits:

[Otherdspio-board

Description: Dual-port USB interface: for configuration and communication Power supply via USB or external source for stand-alone applicatons Two SD-Card connectors for large flash memory and bluetooth communication Serial interface for legacy devices Two push buttons and LEDs for very simple start project High-speed comperators and passive components for Sigma-Delta converter with the FPGA AC97 audio codec
Platform: | Size: 6267904 | Author: shaman85 | Hits:

[VHDL-FPGA-Verilogdelta-sigma-DAC

Description: 根据FPGA的∑-Δ D/A转换器的设计与实现策略,∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。这是∑-Δ DAC实现的verilog语言-According to the FPGA Σ-Δ D/A converter design and implementation strategies, Σ-Δ DAC' s internal only by the two 10-bit binary adder, a 10-bit latch and a D flip-flop, with the FPGA implementations consume only minimal logic resources, using the smallest FPGA can achieve. This is the Σ-Δ DAC implementation verilog language! ! !
Platform: | Size: 1333248 | Author: 王凌 | Hits:

[Software Engineeringsigma-delta-modulation

Description: 高精度、低功耗模数转换器是当今集成电路设计模拟领域的研究热点之一,采用sigma-delta调制原理和过釆样原理的模数转换器广泛使用在音频、数字网络、电子测量等系统中。此类模数转换器,为降低信号带内的量化噪声功率,而釆用噪声整形技术,为提高模数转换器的信噪比,使用过采样技术,将基带中的量化噪声调制到了高频区域,这样就增加了基带中的信噪比,也就是增加了转换器的有效量化位数,由于采用较高的过采样率,Sigma-Delta ADC的转换速率相对较低,高精度和较低转换速率的特点,使得Sigma-Delta ADC特别适用于测量。-High precision, low power analog to digital converter is in today s integrated circuit design simulation has become one of the hot research field, the principle of sigma-delta modulation and ADC sampling principle is widely used in audio, digital network, electronic measurement system. This type of analog-to-digital converter, in order to reduce the quantization noise power signal in the band, and the use of noise shaping technique, in order to improve the SNR of the analog-to-digital converter, using the oversampling technique, modulate the quantization noise in the baseband to the high frequency region, which increases in the baseband signal to noise ratio, that is to increase the effective number of quantization bits converter, due to the sampling rate is high, the Sigma-Delta ADC conversion rate is relatively low, the characteristics of high accuracy and low conversion rate, so that Sigma-Delta ADC is especially suitable for measuring
Platform: | Size: 1180672 | Author: 陈巧 | Hits:

[matlabbitquantize3

Description: 2 bit sigma delta analog to digital converter-2 bit sigma delta analog to digital converter
Platform: | Size: 1024 | Author: paria | Hits:

[OtherCS5490_F3

Description: CS5490内部集成了两个△-∑A/D转换器、高、低通数字滤波器、能量计算单元、串行接口、数字-频率转换器、寄存器阵列和看门狗定时器等模拟、数字信号处理单元-CS5490 integrates two delta sigma A/D converter, high and low pass digital filter, energy calculation unit, serial interface, digital frequency converter, register array and watchdog timer analog and digital signal processing unit
Platform: | Size: 802816 | Author: 王宁 | Hits:

[SCMAD7705

Description: 控制芯片STC90C516RD+ ,AD7705,16位∑-Δ模数转换芯片,调试可用。附有中英文手册。AD7705是完整的16 位AD转换器。若外接晶体振荡器、精密基准源和少量去耦电容, 即可连续进行A D转换。 采用SP I Q SP I兼容的三线串行接口,具有高分辨 率、宽动态范围、自校准、优良的抗噪声性能以及低电压低功耗等特点, 非常适合仪表测量、工业控制等领域的应用。 -The control chip STC90C516RD+, AD7705,16 bit sigma delta analog-to-digital conversion chip, debugging available. With Chinese and English manual. AD7705 is a complete 16 bit AD converter. If the external crystal oscillator, precision reference source and a small amount of decoupling capacitors, you can continue to carry out the D A conversion. The SP SP I Q I is compatible with three wire serial interface with high resolution rate, wide dynamic range, self calibration, excellent anti noise performance and low voltage, low power characteristics, very suitable for the application of instrumentation, industrial control and other fields.
Platform: | Size: 12598272 | Author: 张海蓝 | Hits:

[OtherHI7191

Description: The Intersil HI7191 is a monolithic instrumentation, sigma delta A/D converter which operates ±5V supplies. Both the signal and reference inputs are fully differential for maximum flexibility and performance. An internal Programmable Gain Instrumentation Amplifier (PGIA) provides input gains 1 to 128 eliminating the need for external pre-amplifiers.-The Intersil HI7191 is a monolithic instrumentation, sigma delta A/D converter which operates ±5V supplies. Both the signal and reference inputs are fully differential for maximum flexibility and performance. An internal Programmable Gain Instrumentation Amplifier (PGIA) provides input gains 1 to 128 eliminating the need for external pre-amplifiers.
Platform: | Size: 462848 | Author: diag | Hits:

[OtherDeltaSigma Converter with Analog Comparator

Description: Delta-Sigma converter with comparator and RRC circuit
Platform: | Size: 92160 | Author: colorline | Hits:
« 12 »

CodeBus www.codebus.net