Welcome![Sign In][Sign Up]
Location:
Search - shifter.v verilog

Search list

[VHDL-FPGA-VerilogCORDIC01

Description: CORDIC算法的硬件实现 用的verilog语言-CORDIC algorithm Hardware Implementation of the Verilog language
Platform: | Size: 221184 | Author: 李文文 | Hits:

CodeBus www.codebus.net