Welcome![Sign In][Sign Up]
Location:
Search - shift_register_testbench

Search list

[Othershift_register_testbench

Description: 16位的移位寄存器,加上testbench,可以在modelsim里面运行~-16 of the shift register and testbench, modelsim the inside running ~
Platform: | Size: 24165 | Author: yeqing | Hits:

[VHDL-FPGA-Verilogshift_register_testbench

Description: 16位的移位寄存器,加上testbench,可以在modelsim里面运行~-16 of the shift register and testbench, modelsim the inside running ~
Platform: | Size: 23552 | Author: yeqing | Hits:

CodeBus www.codebus.net