Welcome![Sign In][Sign Up]
Location:
Search - seven segment

Search list

[Linux-Unixqiduan

Description: 七段数码管显示程序,用VHDL语言编译的-Seven-Segment LED display program, compiled using VHDL language
Platform: | Size: 433152 | Author: 史乐 | Hits:

[VHDL-FPGA-Verilogshuzixitongshiyan

Description: 这个给QuartusII初学者用的,里面很清楚的通过几个例子来告诉怎么运用QuartusII. 实验1:Quartus入门 实验2:简单的组合逻辑电路设计 实验3:七段数码管显示 实验4:BCD码显示及运 实验5:触发器和计数器 实验6:存储器的设计 实验7:基于DE2 的SOPC系统开发附录:-This QuartusII beginners to use, which is very clear through several examples to tell how the use of QuartusII. Experiment 1: Quartus entry Experiment 2: a simple combinational logic circuit design of experiment 3: Seven-Segment LED display experiment 4: BCD code display and shipped experiment 5: flip-flops and counters experiment 6: the design of memory test 7: Based on DE2 the SOPC System Development Appendix:
Platform: | Size: 754688 | Author: yulieyar | Hits:

[Communication-Mobile2

Description: 20071121二进制通讯七段码显示OK2 0071121二进制通讯七段码显示OK-20071121 binary communications OK2 0071121 Seven-Segment display binary code communication and seventh code shows OK
Platform: | Size: 20480 | Author: | Hits:

[SCMtempcont

Description: AT89S52 单片机做CPU处理器处理控制,使用 DS18B20 集成温度传感器采集温度数据,七段数码管做显示,可以显示当前的温度值,并且可以设定一个上限温度值并保存在 DS18B20 中,可以调节所要限定的温度值。还设计了一路继电器控制,超出设定温度时继电器被驱动吸合,外电路中的降温风扇开始工作并发出警报,温度低于设定温度后,继电器自动断开风扇停止工作,警报解除。-AT89S52 Single-chip processor to do deal with CPU control, the use of integrated temperature sensor DS18B20 collecting temperature data, Seven-Segment LED display to do, you can display the current temperature value, and can set an upper limit temperature and stored in DS18B20, we can adjust to limit the temperature value. Also designed all the way relay control, beyond the set temperature when the relay was driven pull-in and outside of the cooling fan circuit to start work and sound the alarm, temperature below the set temperature, the relay automatically disconnect the fan to stop working, the alarm lifting.
Platform: | Size: 4096 | Author: 武辉 | Hits:

[assembly languageEEPROMpresentation

Description: 利用拨码开关为可编程器件输入读写命令和相应的地址、数据,8051读入可编程器件设定的命令字并根据可编程器件的设置进行读写操作,读出来的数据通过P0输出给可编程器件,并由可编程器件控制七段数码管显示。(Verilog+单片机)-DIP switch for the use of programmable devices to read and write command input and the corresponding address, data, read into the programmable device 8051 set the word order and in accordance with programmable device settings for read and write operations, read out the data through P0 output to the programmable device, programmable device controlled by the Seven-Segment LED display. (Verilog+ Singlechip)
Platform: | Size: 3072 | Author: 辛颖 | Hits:

[VHDL-FPGA-Verilog7led

Description: 一个最大公约数七段显示器编码VHDL代码设计-Seven-Segment display a common denominator coding VHDL code design
Platform: | Size: 3072 | Author: linew | Hits:

[Othershizhong

Description: 用vhdl语言描述时钟的功能,并通过七段译码显示输出。-VHDL language used to describe the function of the clock and through the Seven-Segment display decoder output.
Platform: | Size: 67584 | Author: wuyub | Hits:

[Windows Develop7seg

Description: 模擬微電腦設計-七段顯示器字型~~VB 模擬微電腦-七段顯示器字型做計時器的顯示 -Simulation microcomputer design- Seven-Segment Display Font ~ ~ VB simulation microcomputer- Seven-Segment display font to do the timer display
Platform: | Size: 5120 | Author: tatsuya | Hits:

[SCMex4-4

Description: 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
Platform: | Size: 7168 | Author: 小志 | Hits:

[Embeded-SCM Developex4-5

Description: 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
Platform: | Size: 8192 | Author: 小志 | Hits:

[SCMdny_brd1

Description: 3 simple AVR assembler code to use seven segment display. These 3 codes realy simple way using seven segment display. these are using in my microprocessor classes. Wert deney1.asm -> no scan Wert Deney2.asm -> Scan but BCD Werrt Deney3.asm -> Scan with BCD. By Basri KUL-3 simple AVR assembler code to use seven segment display. These 3 codes realy simple way using seven segment display. these are using in my microprocessor classes. Wert deney1.asm-> no scan Wert Deney2.asm-> Scan but BCD Werrt Deney3.asm-> Scan with BCD. By Basri KUL
Platform: | Size: 9216 | Author: cooluser | Hits:

[VHDL-FPGA-VerilogVerilogHDL_code

Description: 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees, etc..
Platform: | Size: 1603584 | Author: shsh | Hits:

[Other systemsbcd_7seg_decodr

Description: this program will give the functionality of bcd to seven segment display
Platform: | Size: 106496 | Author: v.k.sreedhar | Hits:

[SCM16bit_display8bitLED

Description: Abstract七段显示器在DE2可当成Verilog的console,做为16进位的输出结果。Introduction使用环境:Quartus II 7.2 SP1 + DE2(Cyclone II EP2C35F627C6)简单的使用switch当成2进位输入,并用8位数的七段显示器显示16进位的结果。-Abstract Seven-Segment Display as Verilog to DE2 at the console, as 16 of the output binary. Introduction to use the environment: Quartus II 7.2 SP1+ DE2 (Cyclone II EP2C35F627C6) the use of a simple switch as a binary input 2, and paragraph 8-digit binary display 16 results.
Platform: | Size: 7168 | Author: 王媛媛 | Hits:

[VHDL-FPGA-VerilogSeven-Segment-LED

Description: 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
Platform: | Size: 236544 | Author: 蔡宇佳 | Hits:

[SCMSEVEN_SEGMENT_DISPLAY

Description: keil下,c8051f020七段数码管显示程序-keil under, c8051f020 Seven-Segment LED display program
Platform: | Size: 29696 | Author: skyblue | Hits:

[VHDL-FPGA-Verilogdisplay

Description: vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
Platform: | Size: 86016 | Author: 王晓虎 | Hits:

[VHDL-FPGA-VerilogSeven-Segment-Decoder

Description: 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
Platform: | Size: 1024 | Author: 吴金通 | Hits:

[ELanguageSeven-segment-display-decoder

Description: 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal number, it must first convert the BCD code fonts 7 segment digital pipes required by code. We can replace the computer output, 7 segment BCD code font code, and make the digital control circuit shows a decimal number called the " Seven-Segment decoder fonts."
Platform: | Size: 3072 | Author: jlz | Hits:

[Otherseven segment 2 digit

Description: programmed in KEIL C for TWO DIGIT of SEVEN SEGMENT LED
Platform: | Size: 8192 | Author: Hanu | Hits:
« 12 3 4 5 6 7 8 9 10 ... 40 »

CodeBus www.codebus.net