Welcome![Sign In][Sign Up]
Location:
Search - qicheweideng

Search list

[Software Engineeringqicheweideng

Description: 汽车尾灯控制系统VHDL编程使用方便操作简单-car taillight VHDL control system easy to use simple programming
Platform: | Size: 35840 | Author: fsdfs | Hits:

[Other resourceqicheweideng

Description: 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转或时,指示灯按照指定要求闪烁。
Platform: | Size: 369375 | Author: 黄名伟 | Hits:

[Other resourceqicheweideng

Description: VHDL语言编写一个汽车尾灯显示的程序,在maxplusII平台下运行,可以下载到芯片在实验箱上可以看到不同情况下汽车尾灯的不同显示
Platform: | Size: 70339 | Author: 李磊 | Hits:

[Software Engineeringqicheweideng

Description: 汽车尾灯控制系统VHDL编程使用方便操作简单-car taillight VHDL control system easy to use simple programming
Platform: | Size: 35840 | Author: fsdfs | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转或时,指示灯按照指定要求闪烁。-This topic to design a car taillights of control circuit. Automobile tail about three lights on each side. When connected to turn left, turn right, or when the indicator light flashing in accordance with specified requirements.
Platform: | Size: 368640 | Author: 黄名伟 | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: VHDL语言编写一个汽车尾灯显示的程序,在maxplusII平台下运行,可以下载到芯片在实验箱上可以看到不同情况下汽车尾灯的不同显示-VHDL language to display a car taillights procedures maxplusII platform running, you can download to the chip in the experimental box can be seen under different circumstances different taillights car show
Platform: | Size: 69632 | Author: 李磊 | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: 一个汽车尾灯的控制的程序,eda课程设计必备 可以控制左转右转等功能-A car taillight control procedures, eda required curriculum design and other functions can be controlled左转右转
Platform: | Size: 69632 | Author: 马君 | Hits:

[SCMqicheweideng

Description: 汽车尾灯显示控制电路设计应用举例,给大家提供方便!-Car taillight display control circuit design application, for example, to provide convenient!
Platform: | Size: 156672 | Author: 武岩松 | Hits:

[Software Engineeringqicheweideng

Description: 假设汽车尾灯左右两侧各有3个指示灯,要求:汽车正常行驶是指示灯全灭 右转弯时,右侧3个指示灯按右循环顺序点亮:左转弯时,左侧3个指示灯按左循环顺序点亮 临时刹车时所有指示灯同时闪烁。-Assumptions about car tail lights on each side of three, asked: car traffic is light Quanmie normal turn right, press the right circle on the right sequence of 3 lit light: turn left, the left three directions Light left-loop sequence of light temporary brake all the lights blink.
Platform: | Size: 59392 | Author: | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: 汽车尾灯控制电路 左右各3个指示灯。向一侧转弯时,指示灯循环点亮。刹车时,指示灯全亮-Car tail lights control circuit around the three indicators. Turn to the side, the indicator light cycle. Brakes, lights all light
Platform: | Size: 57344 | Author: wangzexiang | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: 汽车尾灯的设计(VHDL) 包括 左转,右转,刹车,及出现故障等-Car taillight design (VHDL), including left, right, brake, and the failure of such
Platform: | Size: 10240 | Author: hjbbobo | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: 实现汽车尾灯的各种状态的灯的转换,用以改善现在汽车尾灯的复杂程度-To achieve automotive tail lights various states of transition
Platform: | Size: 3072 | Author: 孙勇 | Hits:

[SCMqicheweideng

Description: 汽车尾灯控制器的设计 设计任务:存在6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯和刹车控制信号(一个开关控制右转弯,另一个开关控制左转弯),用一个开关作为汽车车锁开启控制,打开汽车时车灯闪烁3秒钟,才能行驶。 要求:当汽车往前行驶时(此时两个开关都未接通),6盏灯全灭。当汽车转弯时,若右转弯(即右转开关接通),右边的3盏尾灯从左至右顺序亮灭,左边3盏灯全灭;若左转弯(即左转开关接通),左边的3盏尾灯从右至左顺序亮灭,右边3盏灯全灭。当左、右两个开关同时接通时,6盏尾灯同时明、暗闪烁。 注意:车灯闪烁和亮灭要求亮0.5秒灭1秒。计时模块用单独的实体实现。 -Automobile tail light the controller design Design task: existence 6 lamp that automobile tail light (the rear of the car of the right and left the lights), with the two switch as a turn and brake control signal (a switch control turn right, the other a switch control turn left), with a switch as a disc locks open control, opened the car headlights flashing when 3 seconds, can drive. Requirements: when the automobile driving forward (at this time two switch are not connected), 6 lamp are completely destroyed. When the car if turn, turn right (that is, turn right switch), the lights on the right of the tail lights from left to right order to kill bright, the left 3 lamp are completely destroyed If turn left (that is, left switch), the lights on the left side of the tail lights from right to left, in order to kill bright lights, the right 3 completely destroyed. When the right and left two switch at the same time, the light and bright, the stern 6 dark flashing. Note: flashi
Platform: | Size: 72704 | Author: potoyb2 | Hits:

[VHDL-FPGA-Verilogqicheweideng

Description: 使用vhdl语言设计的汽车尾灯控制电路。用六个发光二极管模拟6个汽车尾灯(汽车尾部左,右各3个),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个开关控制左转弯)。当汽车往前行驶时(此时两个开关的都未接通),6个灯全灭。当汽车转弯时,若右转弯(即右转开关接通),右边3个尾灯从左至右顺序亮灭,左边3个灯全灭;若左转弯(即左转开关接通),左边3个尾灯从右至左顺序亮灭,右边3个灯全灭。当左、右两个开关同时接通时,6个尾灯同时明、暗闪烁。 -The taillights control circuit using VHDL language design. Analog 6 automobile taillights (left of the rear of the car, the right of each 3) with six light emitting diodes, as a turn with the two switches the control signal (a switching control right turn, and another switch controls the left turn). When Previous traveling of the vehicle (when the two switches at this time none of ON), six light Quanmie. When the car turns, if the right turn (right turn switch is turned on), the right three taillights from left-to-right order of light off the left three light Quanmie left turn (ie turn left switch is turned on), the left The right-to-left order of a taillight light off, the right of three lights all off. When the left and right two switches simultaneously, 6 taillights and dark flashing.
Platform: | Size: 137216 | Author: 陈小龙 | Hits:

[Software Engineeringqicheweideng

Description: 数字逻辑设计实验——汽车尾灯控制电路设计-Digital logic design experiments- the car taillights control circuit design
Platform: | Size: 156672 | Author: 蒋雅 | Hits:

[Program docqicheweideng

Description: 这是一个关于汽车尾灯控制器的设计报告,里面详细讲述了如何实验这一设计的方法-This is a report on the design of the taillights controller, which describe in detail how experimental design
Platform: | Size: 228352 | Author: 林子 | Hits:

[Other systemsqicheweideng

Description: 汽车尾灯控制电路 multisim13.0-Taillights control circuit multisim13.0
Platform: | Size: 195584 | Author: 王晔成 | Hits:

CodeBus www.codebus.net