Description: PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface. Platform: |
Size: 21504 |
Author:喻袁洲 |
Hits:
Description: 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F)
并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control Platform: |
Size: 1024 |
Author:刘音 |
Hits:
Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value Platform: |
Size: 3072 |
Author:朱东亮 |
Hits:
Description: 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source Platform: |
Size: 479232 |
Author:cx |
Hits:
Description: FPGA的PS2口接口程序,可识别PS2口键盘的输入-FPGA-PS2 port interface program to identify the mouth PS2 keyboard input Platform: |
Size: 665600 |
Author:冀少威 |
Hits:
Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared Platform: |
Size: 1058816 |
Author:rutingfeng |
Hits:
Description: FOGA ps2 键盘
实验的例子,非常的易懂,一看即会!-Examples of experiments FOGA ps2 keyboard, very easy to understand, a look that will be! Platform: |
Size: 106496 |
Author:李丽莎 |
Hits:
Description: 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in Platform: |
Size: 8153088 |
Author:蹇清平 |
Hits:
Description: VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number from 0 to 9 when you press those number on keyboard, besides it will display E on 7seg when you press others. Platform: |
Size: 2048 |
Author:hongwan |
Hits:
Description: ps2 keyboard with encoding ascii code to 7-segments screeen.
LIBRARY ieee
USE ieee.std_logic_1164.all
USE ieee.std_logic_arith.all
USE ieee.std_logic_unsigned.all
ENTITY klawa IS
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
SW : in std_logic_vector(4 downto 0)
HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)
)
end klawa
architecture Behavioral of klawa is
component keyboard
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )
scan_ready : OUT STD_LOGIC
)
END component -ps2 keyboard with encoding ascii code to 7-segments screeen.
LIBRARY ieee
USE ieee.std_logic_1164.all
USE ieee.std_logic_arith.all
USE ieee.std_logic_unsigned.all
ENTITY klawa IS
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
SW : in std_logic_vector(4 downto 0)
HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)
)
end klawa
architecture Behavioral of klawa is
component keyboard
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )
scan_ready : OUT STD_LOGIC
)
END component Platform: |
Size: 637952 |
Author:arekk |
Hits: