Welcome![Sign In][Sign Up]
Location:
Search - nios II project

Search list

[Other resourceNiosII_IDE

Description: NiosII_IDE软件编译环境探密-Nios II IDE编译环境提供了许多工程模板帮助用户尽可能的快速的推出可运行的系统,可是当我们用一种模板生成应用环境后,需要增加其他应用模式的时候就会遇到问题,我们有必要对Nios II IDE的编译环境有一个了解,使我们灵活的去配置编译系统,下面介绍的内容对于熟悉LINUX系统编程的开发者可能很熟悉,希望我们一起来分析Nios II IDE编译的细节,它的编译环境还是很精巧的哦-NiosII_IDE software build environment explore secret-Nios II IDE compiler environment in the world Multi-project templates to help users as much as possible the rapid introduction of the operating system, But when we use a template generation application environment, the need to increase the model of other applications will often encounter problems, We need to Nios II IDE compiler environment is an understanding, our flexibility to build system configuration, Below on the Linux system for those familiar with the development program may be very familiar. We hope that an analysis together Nios II IDE compiler details of the build environment is still very intricate oh
Platform: | Size: 8255 | Author: 刘斐 | Hits:

[Embeded-SCM DevelopIDEctrl

Description: 自编的某项目中的基于NIOS II的硬盘控制程序,支持PIO方式对硬盘的操作-Written and directed a project in NIOS II based on the hard disk control procedures, to support the PIO on the hard disk operation
Platform: | Size: 2048 | Author: a | Hits:

[VHDL-FPGA-Verilogsvpwm_full_nios

Description: 这是我毕业设计做的一个SVPWM同步永磁交流电机的控制系统,里面除了一个SVPWM的驱动算法之外,还有一个步进电机的控制器,以及基于QUARTUS7.2的NIOS II控制核心,通过PC的串口可以控制同步永磁交流电机和步进电机进行精确的定位。该系统较复杂,运用的知识也比较多,在SVPWM算法,PID算法,步进电机控制方面,NIOS II的串口编程等都有值得参考的地方。最好使用QUARTUS7.2编译,目标芯片是选用EP1C6Q240-This is my graduation project SVPWM make a permanent magnet AC synchronous motor control system, which apart from a driver SVPWM algorithm, there is a stepper motor controller, as well as QUARTUS7.2 based on the NIOS II control core, through PC serial port can be controlled permanent magnet AC synchronous motor and stepper motor for accurate positioning. The system is more complicated, the use of more knowledge, in the SVPWM algorithm, PID algorithm, stepper motor control, NIOS II serial programming, such as places are worth considering. QUARTUS7.2 compile the best use of the target chip is optional EP1C6Q240
Platform: | Size: 13167616 | Author: 汉武帝 | Hits:

[Embeded-SCM Developcide_7f

Description: nios ii sopc关于ISP1362和DM9000A的工程,有助于开发者参考-nios ii sopc and ISP1362 about DM9000A project, contribute to the development of reference
Platform: | Size: 18025472 | Author: Jackie | Hits:

[VHDL-FPGA-Verilogaltera

Description: 在调试nios ii时,由于软件或者是环境的改变造成原先建好的工程不能正常使用,提供一点解释希望能有所帮助-when debugging Nios ii, or because of software changes in the environment are caused by the original construction of the project should not normally use, to provide a little hope to be helpful to explain
Platform: | Size: 2048 | Author: yushenghui | Hits:

[VHDL-FPGA-VerilogDE2_70_TV

Description: 基于NIOS II的电视视频处理工程文件,很完整。-NIOS II on TV video processing project file, it is complete.
Platform: | Size: 163840 | Author: 高天天 | Hits:

[ARM-PowerPC-ColdFire-MIPSProject

Description: 这是一个自己编写的用于nios ii编程的1602接口,在nios ii里只需调用一个显示函数就可以显示所需要的内容。-This is a self-written in 1602 for the nios ii programming interface, in the nios ii li simply call a display function can display the required content.
Platform: | Size: 16384 | Author: zhangyanyi | Hits:

[VHDL-FPGA-VerilogGPS

Description: 基于NIOS驱动ALTERA DE1开发板的GPS模块工程-based on the nios ii drive the gpa module of altera de1 develop board,it s only a reference project
Platform: | Size: 13384704 | Author: 梁重 | Hits:

[VHDL-FPGA-VerilogsopcIIC

Description: 该例子是基于sopc的IIC总线设计完整设计,分为硬件和软件部分,软件部分是用c语言编写的。该项目是个以完成的项目,据有较高的参考和经济价值。该例子是原来做过的项目。 整个项目是在Quartus II 7.0和nios IDE环境下开发。-This example is based on the IIC bus design sopc complete design, divided into hardware and software, the software part is written in c language. The project is to complete the project, according to the reference and a higher economic value. The example is a project originally done. The whole project is in the Quartus II 7.0 and the nios IDE development environment.
Platform: | Size: 13532160 | Author: bobo | Hits:

[VHDL-FPGA-VerilogDE2_70_AUDIO

Description: 是用VERILOG HDL和NIOS II C/C++ 编的DE2-70板子的音频编解码芯片的使用工程-Is VERILOG HDL and NIOS II C/C++ code of the DE2-70 board in the audio codec chip, the use of project
Platform: | Size: 21566464 | Author: 覃建策 | Hits:

[VHDL-FPGA-VerilogDE0_D5M

Description: 这是在DE0板上实现的用D5M+VGA的图像实时显示程序,完整工程-This is achieved in DE0 board D5M+ VGA images with real-time display program, complete project
Platform: | Size: 1246208 | Author: | Hits:

[VHDL-FPGA-Verilogwav_player_and_touch_panel

Description: FPGA project that implements a wav file player for a NIOS II in a DE2-70 board with a graphical interphace in a LTM touch panel.
Platform: | Size: 3283968 | Author: jaime | Hits:

[VHDL-FPGA-VerilogNios_II_Exercises

Description: 嵌入式可编程片上系统设计SOPC课程实验资料,包括一个教Nios II使用的doc格式英文教程和对应工程文件。工程已解压,请自动忽略教程的第一步。-Embedded programmable system on chip design SOPC course of experimental data, including a Nios II used to teach English tutorial doc format and the corresponding project file. Project has been extracted, please ignore the automatic first step in the tutorial.
Platform: | Size: 2332672 | Author: 陈磊 | Hits:

[Other Embeded programMyC2Board_RS232_Test

Description: 这是一个Altera FPGA NIOS II RS232通讯程序。 在Quartus II工程中,用Qsys建立了一个NIOS II为核心的CPU系统,并挂接了一个RS232接口。 在software目录下,有三个工程,一个是用C++类包装的RS232类的Eclipse工程,一个是不用C++类包装的Eclipse工程,还有一个是用VC++2008编写的RS232测试工程。 VC++2008编写的工程运行在PC机上,与FPGA中的NIOS II通讯。 这个实验的主要目的是编写一个通用RS232类,这个类即可以用于NIOS II,又可以用于PC机,是一个可重用的RS232类;我们用这个类开发了不少以PC为控制平台,FPGA为硬件控制器的测试系统。 -This is an Altera FPGA NIOS II RS232 communication project. In the Quartus II project, there is a NIOS II CPU with RS232. In the Software directory, there are 3 projects. First one is an Eclipse Project with C++ RS232 Class. Second one is an Eclipse Project with C RS232.h. Other one is a VC++2008 Project with C++ RS232 Class. The purpose of this project is to write a RS232 Class use on any system needed RS232 communication. The RS232 Class not only use on NIOS II, but also use on PC. We used this RS232 Class on many Test Systems with PC and FPGA
Platform: | Size: 13864960 | Author: li hui xian | Hits:

[VHDL-FPGA-Verilogucgui_test_cyclone4

Description: ucgui+ucosii在nios ii上的移植,支持ps/2鼠标驱动。包含整个工程。-ucgui+ucosii porting on nios ii, support ps/2 mouse. whole project included.
Platform: | Size: 10151936 | Author: qiubin | Hits:

[ELanguageDE2_synthesizer

Description: for development of an audio project. this will prove very helpful for de nios ii media code. thanks.
Platform: | Size: 173056 | Author: aditya | Hits:

[Embeded-SCM DevelopIDEctrl

Description: 自编的某项目中的基于NIOS II的硬盘控制程序,支持PIO方式对硬盘的操作-Written and directed a project in NIOS II based on the hard disk control procedures, to support the PIO on the hard disk operation
Platform: | Size: 3072 | Author: rcenty | Hits:

[Embeded-SCM DevelopIDEctrl

Description: 自编的某项目中的基于NIOS II的硬盘控制程序,支持PIO方式对硬盘的操作-Written and directed a project in NIOS II based on the hard disk control procedures, to support the PIO on the hard disk operation
Platform: | Size: 3072 | Author: ptsho | Hits:

[VHDL-FPGA-Veriloghow_to_create_nios_II_application

Description: Nios II basic development tutorial project
Platform: | Size: 19001344 | Author: shobhit | Hits:

[VHDL-FPGA-Verilogchu_ip_drv

Description: It contains the C driver (.c and .h) files of IP cores in Parts III and Part IV. Since the driver files are not integrated with HAL, the corresponding files must be manually copied to the software application project directory when a core is used in a Nios II system.
Platform: | Size: 23552 | Author: davido | Hits:

CodeBus www.codebus.net