Welcome![Sign In][Sign Up]
Location:
Search - msk

Search list

[matlabmsk

Description: 调制系数等于0.5的fsk调制与解调的matlab实现,即实现msk的调制解调-Modulation index is equal to 0.5 fsk modulation and demodulation matlab implementation, namely, the achievement msk modem
Platform: | Size: 16384 | Author: iamsyy | Hits:

[Post-TeleCom sofeware systemsMSK

Description: 使用systemview开发的MSK调制解调仿真系统-Use systemview developed simulation system for MSK modulation and demodulation
Platform: | Size: 2048 | Author: wl | Hits:

[matlabMSK

Description: MSK的调制算法和解调算法,大家有需要的可以看一看,参考一下。-MSK modulate/demodulate
Platform: | Size: 1411072 | Author: 艳子 | Hits:

[assembly languagemsk

Description: matlab msk仿真以及msk各种功能及其应用-matlab msk simulation, as well as the various functions and its application msk
Platform: | Size: 16384 | Author: shiyu | Hits:

[Program docMSK

Description: 关于MSK 信号的相关调制解调和非相干调制解调的有用资料,包含部分代码-With regard to MSK modulation and demodulation signal-related and non-coherent modulation and demodulation of the useful information, including part of the code
Platform: | Size: 6879232 | Author: meng | Hits:

[Communication-Mobilemsk

Description: msk调制解调程序,利用matlab进行仿真,通信类设计可用-msk modulation and demodulation process simulation using matlab, Communications design available
Platform: | Size: 1024 | Author: 王涛 | Hits:

[CommunicationMSK

Description: MSK FSK的调制程序及其功率谱密度函数图像-MSK FSK modulation process and its power spectral density function of image
Platform: | Size: 5120 | Author: cs | Hits:

[Software EngineeringMSK

Description: A simulation in simulink that implements a MSK modulation with a matlab script to run the app.
Platform: | Size: 8192 | Author: koitoer | Hits:

[assembly languageMSK

Description: 用VERILOG编写的MSK调制模块的程序代码 简单易懂-MSK modulation with a VERILOG module written in easy to understand code
Platform: | Size: 2013184 | Author: 龙兰飞 | Hits:

[matlabMSK

Description: 在MATLAB上实现的MSK调制与解调程序。-Implemented on the MATLAB MSK modulation and demodulation process.
Platform: | Size: 2048 | Author: seven | Hits:

[VHDL-FPGA-Verilogmsk

Description: msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
Platform: | Size: 1024 | Author: 李博 | Hits:

[matlabmsk

Description: This an example of creating an MSK signal-This is an example of creating an MSK signal
Platform: | Size: 1024 | Author: Wee | Hits:

[matlabmsk

Description: msk MATLAB代码,可以实现msk调制,按IQ原理编写的-msk MATLAB code,msk modulation can be achieved,according to I,Q prepared in principle
Platform: | Size: 1024 | Author: ailsa | Hits:

[matlabmsk

Description: msk一种恒包络信号,本源码用多种方案实现MSK信号的调制和解调-msk a constant envelope signal, the source with a variety of programs to achieve the modulation and demodulation of MSK
Platform: | Size: 9216 | Author: wangyu | Hits:

[matlabMSK

Description: 通信系统仿真,MSK,SYSTEMVIEW,数字通信系统的SystemView仿真与分析-Communication system simulation, MSK, SYSTEMVIEW, Digital Communication System Simulation and Analysis SystemView
Platform: | Size: 1024 | Author: lingerschan | Hits:

[matlabQPSK-MSK-QAM-OFDM

Description: 完整的QPSK-MSK-QAM-OFDM调制解调程序,完整的QPSK-MSK-QAM-OFDM调制解调程序-Complete QPSK-MSK-QAM-OFDM modulation and demodulation process, a complete QPSK-MSK-QAM-OFDM modulation and demodulation process
Platform: | Size: 3072 | Author: | Hits:

[Communication-MobileMsk

Description: msk与直接序列扩频方面的文章,内容不错的-MSK AND KUOPIN
Platform: | Size: 373760 | Author: gyc1204 | Hits:

[matlabMSK

Description: 实现了msk的调制解调,测试过的,绝对好用-Msk modulation and demodulation implemented, tested, and absolutely easy to use
Platform: | Size: 2048 | Author: Johnny | Hits:

[DocumentsMSK

Description: 可以很好的实现MSK的解调与调制,并且有多种实现方法(MSK demodulation and modulation can be achieved)
Platform: | Size: 2048 | Author: LeoR | Hits:

[matlabMSK程序

Description: MSK调制解调在加噪声的情况下的程序代码(MSK modulation and demodulation plus noise)
Platform: | Size: 11264 | Author: 洁1 | Hits:
« 1 23 4 5 6 7 8 9 10 ... 32 »

CodeBus www.codebus.net