Welcome![Sign In][Sign Up]
Location:
Search - miaobiao

Search list

[assembly languagemiaobiao

Description: 汇编语言 电子秒表的程序 有需要的下去看下 -err
Platform: | Size: 6144 | Author: zhanbin | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: 完整的的倒计时秒表设计(指示带闪烁)VHDL代码,Quartus 2开发环境,Archive文件,在Quartus2解压即可。-Complete countdown stopwatch design (with flashing instructions) VHDL code, Quartus 2 development environment, Archive documents, in Quartus2 can extract.
Platform: | Size: 113664 | Author: 李淡 | Hits:

[Othermiaobiao

Description: 一个简单的秒表C++程序程序,开发环境:vc6 -A simple stopwatch C++ Process procedures, development environment: vc6
Platform: | Size: 28672 | Author: 正中 | Hits:

[SCMmiaobiao

Description: 详细编制了单片机秒表的C语言程序开发,并实现了功能 -Detailed preparation of the single-chip C-language stopwatch program development, and realize the functional
Platform: | Size: 13312 | Author: LIGANG | Hits:

[assembly languagemiaobiao

Description: 实现秒表的功能。能精确到0.01位。最多能计时1个小时。-Realize stopwatch function. Can be accurate to 0.01. Up to 1 hours time.
Platform: | Size: 865280 | Author: 杨德勇 | Hits:

[Othermiaobiao

Description: 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态、待机状态。 (4) 每当接收到有效按键时,蜂鸣器发出提示声。
Platform: | Size: 208896 | Author: 李亟 | Hits:

[assembly languagemiaobiao

Description: verilog写的分频程序,可以对输入的频率分频-Verilog write the sub-frequency procedures, can the frequency of the input frequency
Platform: | Size: 140288 | Author: chen | Hits:

[SCMmiaobiao

Description: 用c语言编写的单片机秒表程序,该程序能够实现从0-59-With c languages Singlechip stopwatch program, the program can be realized from 0-59
Platform: | Size: 1024 | Author: andy | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: 基于VHDL环境下的秒表设计源代码 很好用的-Environment based on VHDL design source code stopwatch good use
Platform: | Size: 1024 | Author: Jim | Hits:

[SCMmiaobiao

Description: 自己做的期末单片机程序设计,设计内容——秒表!-Singlechip do at the end of their program design, design elements- a stopwatch!
Platform: | Size: 193536 | Author: 圣月 | Hits:

[SCMmiaobiao

Description: 单片机数码管秒表程序。有复位和计时功能。-Single-chip digital tube stopwatch program. Have reset and timing functions.
Platform: | Size: 24576 | Author: Wahaha | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: 是电子手表的程序,基本上和市面上的电子表显示方式一样,XX:XX的格式-Procedures for electronic watches, and the market is basically the same manner as the electronic table shows, XX: XX format
Platform: | Size: 1024 | Author: 小魏 | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: 秒表功能,自带工程,EDA的设计平台QuartusⅡ-Stopwatch functions, bring their own works
Platform: | Size: 1589248 | Author: huliyan | Hits:

[SCMmiaobiao

Description: 8位数码管秒表程序 用单片机C语言编程 八位数码管动态显示-8-bit digital tube stopwatch program with C language programming of eight single-chip LED dynamic display
Platform: | Size: 16384 | Author: tangzong | Hits:

[Windows Developmiaobiao

Description: 计时器的最长计时时间为l小时, 为此需要一个6位的显示器, 显示的最长时间为 59分59.99秒。具有开始暂停功能的秒表-miaobiao
Platform: | Size: 1113088 | Author: chenshilin | Hits:

[assembly languagemiaobiao

Description: 51单片机秒表,可实现自动计数,自动清零等多种功能-51 microcontroller stopwatch, can realize automatic counting, automatic cleared and many other features
Platform: | Size: 38912 | Author: xin | Hits:

[Embeded-SCM Developmiaobiao

Description: 精度达到毫秒级的秒表,用数码管显示,显示范围从0--99.999 有复位 置位功能。-Millisecond precision stopwatch with digital display, display range from 0- 99.999 bit functions are complex.
Platform: | Size: 10240 | Author: 刘建文 | Hits:

[Othermiaobiao

Description: 设计一个电子秒表,能显示毫秒,秒,分,并具有复位、暂停等功能-Design of an electronic stopwatch, can display milliseconds, seconds, minutes, and has reset, and pause
Platform: | Size: 113664 | Author: 李明 | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: 设计秒表 可以实现计数清零 停止 实现 59分59秒-miaobiao design
Platform: | Size: 33792 | Author: thuwudi | Hits:

[Com Portmiaobiao

Description: 秒表应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。 秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器连接。-simple miaobiao
Platform: | Size: 2048 | Author: 范增 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net