Welcome![Sign In][Sign Up]
Location:
Search - logic circuits

Search list

[OtherDigitalLogicCircuits

Description: 此文件为数字逻辑电路课件,内容丰富,课件重点鲜明,PPT格式。-this paper to digital logic circuits courseware, rich in content, courseware focused and PPT formats.
Platform: | Size: 2801145 | Author: 李敏丹 | Hits:

[assembly languagebujinjizhuandong

Description: 利用DSP芯片控制步进电机的转动。 设计DSP外围的等待逻辑电路、等待逻辑控制电路、步进电机驱动电路 设计步进电动机驱动软件 按照设计电路焊接电路板,调试、测试 按照软件设计要求设计软件,并且结合硬件电路调试、测试。 最终达到控制步进电机转动目的 -use DSP controlled stepper motor rotation. DSP Design external logic circuits wait and wait for logic control circuits, Stepper motor drive circuit design stepper motor drive circuit design software in accordance with the welding circuit boards, debugging, testing in the software design software design requirements, the combination of hardware and circuit debugging and testing. Ultimately achieve control of stepper motor rotation purpose
Platform: | Size: 4108 | Author: 晨晨 | Hits:

[Develop Tools03 数字逻辑电路 1.3

Description: 清华计算机组成原理电子教案 : 数字逻辑电路 -Tsinghua Computer electronic templates Principle : digital logic circuits
Platform: | Size: 252692 | Author: 高名 | Hits:

[Books03 数字逻辑电路 1.3

Description: 清华计算机组成原理电子教案 : 数字逻辑电路 -Tsinghua Computer electronic templates Principle : digital logic circuits
Platform: | Size: 252928 | Author: 高名 | Hits:

[OtherLA1032-manual

Description: 广州致远电子的LA系列逻辑分析仪的详细使用指南。详细介绍了如何在CPLD/FPGA/单片机开发,嵌入式开发,数字电路开发等方面使用逻辑分析仪。是上述开发中一个非常有用的工具! 逻辑分析仪——纵观全局、掌控细节——细节决定成败!-Guangzhou, Zhi Yuan Electronics LA Series logic analyzer detailed guidelines for their use. Details of how the CPLD/FPGA/microcontroller development, embedded development, the development of digital circuits use a logic analyzer. This development is a very useful tool! Logic analyzer-- Overall, control of the details-- details determine the success or failure!
Platform: | Size: 3083264 | Author: 徐勇 | Hits:

[OtherDigitalLogicCircuits

Description: 此文件为数字逻辑电路课件,内容丰富,课件重点鲜明,PPT格式。-this paper to digital logic circuits courseware, rich in content, courseware focused and PPT formats.
Platform: | Size: 2800640 | Author: 李敏丹 | Hits:

[assembly languagebujinjizhuandong

Description: 利用DSP芯片控制步进电机的转动。 设计DSP外围的等待逻辑电路、等待逻辑控制电路、步进电机驱动电路 设计步进电动机驱动软件 按照设计电路焊接电路板,调试、测试 按照软件设计要求设计软件,并且结合硬件电路调试、测试。 最终达到控制步进电机转动目的 -use DSP controlled stepper motor rotation. DSP Design external logic circuits wait and wait for logic control circuits, Stepper motor drive circuit design stepper motor drive circuit design software in accordance with the welding circuit boards, debugging, testing in the software design software design requirements, the combination of hardware and circuit debugging and testing. Ultimately achieve control of stepper motor rotation purpose
Platform: | Size: 4096 | Author: 晨晨 | Hits:

[Software Engineeringmodianshiyan_1

Description: 用原理图输入法设计门电路 一、 实验目的 ◆通过一个简单的反向器的现实,初步了解CPLD开发的全过程。 ◆学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。 ◆能够通过CPLD开发实现具有反向器功能的数字电路。 二、实验软件主要功能的介绍........... -Input Method with schematic design of a gate circuit, the experiment was a simple ◆ Reverse s reality, and a preliminary understanding of the development of the whole process of CPLD. ◆ learn to use software simulation and hardware realization of digital logic circuits to verify functionality and analysis. ◆ CPLD development through realization of the reverse function of the digital circuit. Second, the experimental introduction of the main functions of the software ...........
Platform: | Size: 25600 | Author: 李爱春 | Hits:

[VHDL-FPGA-VerilogSystemOfTaxiFeeBasedOnVerilogHDL

Description: 摘 要:以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。 关键词:Verilog HDL;电子自动化设计;硬件描述语言;MAX+PLUSⅡ-Abstract: Shanghai taxi meter as an example, the use of Verilog HDL language designed taxi meter so that it will have the time display, billing, as well as analog taxis to start, stop, reset and other functions, and set up a dynamic scanning circuit shows that the fare and the corresponding time, shows the hardware description language Verilog-HDL design of the superiority of digital logic circuits. Source by MAX+ PLUS Ⅱ software debugging, optimization, downloaded to EPF1OK10TC144-3 chip, can be applied to the actual taxi fare collection system. Keywords: Verilog HDL electronic design automation hardware description language MAX+ PLUS Ⅱ
Platform: | Size: 211968 | Author: 杨轶帆 | Hits:

[OtherSOPC

Description: SOPC是一种新的系统设计技术,也是一种新的软硬件综合设计技术。通过它,可以很快地将硬件系统(包括微处理器,存储器,外设以及用户逻辑电路等)和软件设计都放在一个可编程的芯片中,以达到系统的IC设计.-SOPC is a new system design technology, but also a new integrated software and hardware design techniques. Through it, can quickly create hardware systems (including microprocessors, memory, peripherals and user logic circuits, etc.) and software design on a programmable chip, in order to achieve system IC design.
Platform: | Size: 5891072 | Author: 26 | Hits:

[VHDL-FPGA-VerilogVHDL_book123

Description: 本书详细介绍了VHDL语言设计数字逻辑电路和数字系统的过程和方法,并对设计中各种相关技术做了详细的介绍,出此之外,本书提供了丰富的 实例,条理清晰,通俗易懂。-The book detailed the VHDL language design digital logic circuits and digital systems of the process and methods, and design a variety of related technologies to do a detailed introduction, in addition to this, the book provides a wealth of examples, the clarity of popular easy to understand.
Platform: | Size: 18693120 | Author: 果冻 | Hits:

[Otherthe_async_signal_in_sync_desins

Description: 只有最初级的逻辑电路才使用单一的时钟。大多数与数据传输相关的应用都有与生俱来的挑战,即跨越多个时钟域的数据移动,本文将介绍怎样在同步设计中处理异步信号-Only the most elementary logic circuits use a single clock. Most of data transmission and related applications have inherent challenges, that is, across multiple clock domain data movement, this article will introduce how to deal with the design of asynchronous synchronization signal
Platform: | Size: 148480 | Author: joe | Hits:

[OtherA_First_Couse_in_Digital_Systems_Design_An_Integra

Description: 数字系统设计基础教程 本书将数字系统作为一个整体的系统,并按层次结构对数字系统进行划分和论述。论题涉及了数字系统技术的各个方面,如:数制、编码、布尔代数、逻辑门、组合逻辑设计、时序电路、VHDL基本概念、VLSI设计基本概念、CMOS逻辑电路和硅芯片、存储器部件、计算机原理和计算机体系结构基础知识等等。本书将传统的数字电路知识和现代技术相结合,适于大专院校相关专业的学生作教科书之用。 -Digital System Design Essentials book digital system as a whole system, together with a hierarchical structure of digital systems division and expositions. Topics related to digital systems in all aspects of technology, such as: the number system, coding, Boolean algebra, logic gates, combinational logic design, sequential circuits, VHDL basic concepts, VLSI design of the basic concepts, CMOS logic circuits and silicon chips, memory components, computer principles and basic knowledge of computer architecture and so on. This book will be a traditional digital circuit knowledge and modern technology, suitable for students of the relevant professional institutions for use in textbooks.
Platform: | Size: 18207744 | Author: 陨星 | Hits:

[OtherSimulator

Description: C实现模拟与或非门的逻辑电路,可以多元件输入,支持元件延时,可以绘制输出波形-C simulation and implementation of logic circuits or door, you can enter many components to support the delay components, you can draw the output waveform
Platform: | Size: 1081344 | Author: 王林 | Hits:

[OtherDigitalLogic

Description: 组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法-Combinational logic circuits, sequential logic circuits and digital logic circuit system design, installation, testing methods
Platform: | Size: 89088 | Author: HQR | Hits:

[VHDL-FPGA-Verilogclock

Description: 这是一个数字时钟的数字逻辑电路,整个工程打包上传,时钟可以计时、校时、整点报时、定时闹钟。使用电路图实现的。在quatarsII里面仿真的并且下载到DE2板上运行过。-This is a digital clock digital logic circuits, the whole project package upload, the clock could be time, school hours, the whole point timekeeping, timing alarm clock. The use of circuit implementation. The quatarsII inside the simulation, and downloaded to the DE2 board to run-off.
Platform: | Size: 1041408 | Author: ryan | Hits:

[Software Engineeringverilog_circuits

Description: describes the verilog code for logic circuits
Platform: | Size: 2505728 | Author: geetha | Hits:

[Software EngineeringDynamic-Logic-Circuits

Description: It is a presentation Dynamic logic circuits
Platform: | Size: 531456 | Author: Anand | Hits:

[VHDL-FPGA-Verilogasynchronous-sequential-circuits

Description: 利用基本RS触发器设计电平异步时序电路的方法 此文档帮助读者设计数字逻辑电路,并非VHDL语言实现-The use of the basic RS flip-flop design level asynchronous sequential circuits This document is to help readers design digital logic circuits, not the VHDL language
Platform: | Size: 798720 | Author: 东方不败 | Hits:

[assembly languageSequential-logic-circuits

Description: fpga verilog时序逻辑电路 代码仿真及说明-fpga verilog sequential logic circuit simulation code and description
Platform: | Size: 39936 | Author: 高路 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net