Welcome![Sign In][Sign Up]
Location:
Search - leon 2 processor

Search list

[VHDL-FPGA-VerilogSparc_leon_VHDL

Description: 一个航天航空用的Sparc处理器(配美国欧洲宇航局用的R_tems嵌入式操作系统)的VHDL源代码,但不能保证版图设计ASIC成功 -the Sparc processor (fitted with the United States of the European Space Agency R_tems Embedded operating system) VHDL source code, but it can not guarantee success ASIC Layout
Platform: | Size: 1873920 | Author: 韩红 | Hits:

[Otherleon2-1.0.20-xst.tar

Description:
Platform: | Size: 2116608 | Author: 大为 | Hits:

[ARM-PowerPC-ColdFire-MIPSleon3

Description: sun公司的sparc v8处理器的配置代码。-the sun sparc ET processor configuration code.
Platform: | Size: 43008 | Author: 吴明诗 | Hits:

[Otherleon3-clock-gate

Description: Clock gating logic for LEON3 processor.
Platform: | Size: 114688 | Author: 岳昆 | Hits:

[VHDL-FPGA-Verilogleon-2.2.tar

Description:
Platform: | Size: 379904 | Author: Jackson | Hits:

[VHDL-FPGA-Verilogleon2-1[1].0.2a

Description: grlib源码,包含有设计实例以及工艺库,适合Leon未处理器的学习-grlib source, includes design examples and technology library, the processor is not suitable for learning Leon
Platform: | Size: 943104 | Author: 王翔 | Hits:

CodeBus www.codebus.net