Welcome![Sign In][Sign Up]
Location:
Search - led 8*8

Search list

[Other resourcekey+led

Description: proteus 2*8,8段数码管,中断按键仿真,
Platform: | Size: 27650 | Author: | Hits:

[Com Port8255控制循环LED显示

Description: 一个采用8255芯片控制8个LED灯循环点亮的程序代码-a 8255 chip used to control eight LED lights lit circle code
Platform: | Size: 1024 | Author: | Hits:

[assembly languageLED-dyn

Description: (原创)动态液晶显示,用到8*8发光二极管点阵。显示“信息工程学院”上下显示。-(original) Dynamic LCD, uses 8* 8 LED matrix. Show "Information Engineering Institute" show from top to bottom.
Platform: | Size: 1024 | Author: 杨卓飞 | Hits:

[Com Port8×8dotmatrix

Description: 利用595实现串口数据传输,控制led点阵8×8的显示- Using 595 realizations strings mouth data transmission, controls the led lattice 8脳8 demonstration
Platform: | Size: 1024 | Author: Paco | Hits:

[Other8.8LEDdot

Description: 8×8led点阵字库,可以用来把中文转换成点阵-Lattice 8 × 8led font can be used to convert the Chinese lattice
Platform: | Size: 77824 | Author: 张红霞 | Hits:

[SCM8×8LED

Description: 8×8LED显示屏字模提取软件,我试过了,还蛮好用的,欢迎大家免费下载-8 × 8LED display matrix extraction software, I tried, quite useful, welcome everybody free download
Platform: | Size: 1348608 | Author: 王哲 | Hits:

[SCMled

Description: 流水灯 (1)第1,3,5,7个灯半亮,其余的全亮。 (2)第1个亮1/8,第2个亮2/8,第3个亮3/8,第4个亮4/8,第5个亮5/8,第6个亮6/8, 第7个亮7/8,第8个全亮。 (3)来点小难的,所有的灯逐渐从暗到全亮,再由全亮到暗。 (4)最难的:8个灯从第一个开始依次渐亮,直到最后一个。再从最后一个起渐暗, 直到第一个。如此循环往复。这才是真正的流水灯-Water lamp (1) Section 1,3,5,7 a semi-bright lights, and the remaining full-liang. (2) a light 1/8, 2-liang 2/8, 3-liang 3/8, 4-liang 4/8, 5-liang 5/8, 6-liang 6/8 , No. 7-liang 7/8, 8 full-liang. (3) to point a small difficulty, all the lights gradually from dark to full light, and then from the entire light to dark. (4) the most difficult: 8 light from the first light began to turn gradually, until the last one. Starting from the last dimming until the first one. And so on. This is the real water lights
Platform: | Size: 1024 | Author: 刘占友 | Hits:

[VHDL-FPGA-Verilogled

Description: 10位8段LED的扫描显示程序,可以实现10位数的显示。-10脦 禄8 露 脦LED渭脛脡 篓 脙猫脧脭脢 戮 鲁 脤脨貌 拢 卢 驴 脡脪脭脢渭脧脰10脦 禄脢媒渭脛脧脭脢 戮 隆 拢
Platform: | Size: 1024 | Author: jz | Hits:

[Other Embeded programkey+led

Description: proteus 2*8,8段数码管,中断按键仿真,-proteus 2* 8,8 para digital tube, interrupt button simulation,
Platform: | Size: 27648 | Author: | Hits:

[SCMled

Description: 通过8片74595和16片tip127驱动16*`16*4的led,显示汉字信息,超高亮度的led显示.74595采用串联移位的方式,74154为16选1的行驱动,驱动16片tip127,显示效果良好-74595 and 8 through 16 tip127 Driver 16* `16* 4 led, display of Chinese characters of information, ultra-high brightness of the led display using tandem translocation .74595 approach 74,154 for the 16 election 1 line drive, drive 16 tip127 to show good results
Platform: | Size: 36864 | Author: 许石宝 | Hits:

[SCM8×8LED

Description: 8×8点阵LED显示,基于单片机的人机接口的设计,硬件设计及软件仿真,用到的软件是PROTEUS KEIL-8 × 8 dot matrix LED display, based on single-chip human interface design, hardware design and software simulation software is used PROTEUS KEIL
Platform: | Size: 74752 | Author: 朱祥林 | Hits:

[SCM88LED

Description: 8*8点阵led显示功能介绍,包括点阵构成的原理,显示一竖柱,显示一横柱-8* 8 dot matrix led display, including the principle of dot-matrix composition, show一竖column, showing a horizontal column
Platform: | Size: 54272 | Author: lxc | Hits:

[VHDL-FPGA-Verilogshifter

Description: 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制,显示在数码管LED8 上 D[7..0]是移位数据输入,由键2 和1 控制,显示在数码管2 和1 上 QB[7..0]是移位数据输出,显示在数码管6 和5 上:cn 是移位数据输出进位,显示在数码管7 上。-SHIFTER shift calculator using Verilog HDL language, the input and output side with the keyboard/display LED connection. Shift operator is a sequential circuit, in J when the bell signals the arrival of a state of change, CLK its clock. By S0, S1, M to control the functions of the state of shift operations, with data loading, data maintenance, cycle shifted to right, into the digital cycle shifted to right, circle left, circle to the left into the digital functions. CLK is the clock pulse input through the key high 5 low M mode control, M = l-bit cyclic shift into when, controlled by the key 8 into the displacement of CO to allow input from 7 control keys: S Control Shift Mode 0-3, 6 button control from showing in the digital control LED8 on D [7 .. 0] is the shift data input from the keys 2 and 1 control, displayed in the digital tube 2 and 1 QB [7. .0] is the displacement data output, displayed on the LED 6 and 5: cn is a binary data output shift, showing 7 on in the digital co
Platform: | Size: 129024 | Author: 623902748 | Hits:

[SCMLED

Description: 最近买了块开发板学习单片机,以下是电路原理图。不明白上面的4×4矩阵键盘(电路图最左上角)的编码原理。键盘的8条引线直接与p1.0^p1.7相连,疑惑的是即使按下任意按键也在p1口上读不到任何电平啊? 期待高手指点!-Recently bought a development board to study single-chip block, the following is the circuit schematic. Do not understand the above 4 × 4 matrix keyboard (circuit diagram most upper-left corner) encoding principle. 8-lead keyboard directly connected with the p1.0 ^ p1.7, doubts that even if the press any key I have also read p1 level no ah? Look forward to expert advice!
Platform: | Size: 944128 | Author: 侯桂友 | Hits:

[SCMLED-control

Description: 有74HC595和三极管联合控制的8*8点LED显示屏的现实驱动电路设计图-74HC595 and the transistor have joint control of the 8* 8 LED display drive circuit design reality
Platform: | Size: 555008 | Author: 小柴 | Hits:

[assembly languageLED

Description: SONIX 8位单片机行列双向驱动LED -SONIX 8BIT MCU EXMAPLE
Platform: | Size: 21504 | Author: demingchen | Hits:

[VHDL-FPGA-VerilogLED.dot.matrix.display

Description: LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
Platform: | Size: 80896 | Author: duopk | Hits:

[Other Embeded programLed-Matrix-8-parallel-data-transfer-8-bits-for-80

Description: Led Matrix 8 parallel data transfer 8 bits, scan the external columns. Protues 7.5 simulations.
Platform: | Size: 26624 | Author: Phi Ung | Hits:

[SCMLED-X-8

Description: LED X 8.rar ME850單片機開發實驗儀演示程序 - LED 左右移動流水燈-LED X 8.rar ME850 microcontroller development of experimental instrument demo program move water around- LED lights
Platform: | Size: 13312 | Author: kamechan | Hits:

[Other8-8位LED左移

Description: 8-8位LED左移(8-8 bit LED left shift)
Platform: | Size: 7168 | Author: 5lr51lnh | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net