Welcome![Sign In][Sign Up]
Location:
Search - led fpga

Search list

[Report papersLED显示屏控制技术实现.doc

Description:

关键词:256 级灰度;LED 点阵屏;FPGA;电路设计


Platform: | Size: 196096 | Author: zhouxinjie1 | Hits:

[Books]FPGA设计指导手册PDF版

Description: FPGA设计指导手册PDF版 将如何设计fpga的,考虑了一些FGPA的关键问题可以参考一下 对初学者有帮助的-FPGA design instruction manual PDF version of the design they simply consider the FGPA some of the key issues for reference to help beginners
Platform: | Size: 260096 | Author: 沉沉 | Hits:

[VHDL-FPGA-Verilogfpga-example1

Description: 集中了十几个vhdl经典程序,如lcd,led控制程序和多种接口程序-focus of a dozen VHDL classic procedures, such as LCD, led control procedures and multiple interface program
Platform: | Size: 66560 | Author: 张伟 | Hits:

[VHDL-FPGA-VerilogLED.VHDL

Description: LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
Platform: | Size: 5120 | Author: 少龙 | Hits:

[VHDL-FPGA-VerilogISE8.1_loopback

Description: 硬件平台为Xilinx Spartan3e,编译软件为ISE8.1,实现了九针com口通信,键盘输入回显,switch控制LED功能。-hardware platform for Xilinx Spartan3e, compile software ISE8.1. achieved nine needles com port communication, a return to the keyboard input, LED control switch function.
Platform: | Size: 23552 | Author: Lincker | Hits:

[OtherLED

Description: 用VHDL 语言描述度7段LED数码显示管,其开发均在FPGA中-using VHDL description of 7 degrees LED digital display tubes, which were developed in FPGA
Platform: | Size: 122880 | Author: 侯同 | Hits:

[Books256LED

Description: 256级灰度LED点阵屏显示原理及基于FPGA的电路设计-256 gray-scale screen display LED dot-matrix theory and circuit design based on FPGA
Platform: | Size: 133120 | Author: watson | Hits:

[VHDL-FPGA-VerilogLED_VHDL

Description: FPGA驱动LED静态显示以及FPGA驱动LED动态显示(4位)-FPGA-driven static LED display and LED driver FPGA dynamic display (4)
Platform: | Size: 5120 | Author: wudi | Hits:

[Embeded Linuxfpga

Description: 基于PXA270-S linux的FPGA实现。 向LED_CONTROL写入n即得到n*0.1S的延时,LED闪烁的快慢程度发生变化。-Based on the PXA270-S linux realize the FPGA. LED_CONTROL write n to get n* 0.1S delay, LED blinking speed of the extent of change.
Platform: | Size: 3072 | Author: 徐亚雪 | Hits:

[VHDL-FPGA-Verilogdynamic_display

Description: 4 digital LED dynamic display的Verilog HDL源代码,它能动态的显示4位数,为FPGA 的DEBUG 提供便利,非常经典,简单易懂,并且经过了Modelsim/ISE/FPGA(XC3S250ETQ144)验证和实现,好的行为模型就应该大家分享。-4 digital LED dynamic display of the Verilog HDL source code, it can dynamically display 4-digit for the FPGA to facilitate the DEBUG, very classic, easy-to-read, and after Modelsim/ISE/FPGA (XC3S250ETQ144) authentication and realize, good The behavior model should be shared.
Platform: | Size: 257024 | Author: name | Hits:

[Software EngineeringLED

Description: 本文介绍了一种全新的LED显示屏控制解决方案,主要使用Altera cyclone飓风FPGA和16位凌阳单片机SPCE061A作为主控器件,采用较普遍的74LS595作为LED 显示屏显示驱动芯片。-In this paper, a new LED display control solution, the main use of Altera cyclone hurricane FPGA and 16-bit single-chip Sunplus SPCE061A as a master device, using the more common 74LS595 as an LED screen display driver chip.
Platform: | Size: 370688 | Author: 上官婉儿 | Hits:

[VHDL-FPGA-VerilogLEDhanzigundong_VHDL

Description: 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。-This paper mainly discusses the use of EDA tools for the design of Chinese characters scrolling display technology. First, the description based on field programmable gate array (FPGA) hardware circuit and then studied in the 8 × 8LED LED dot matrix display scroll principle characters, and gives ALTERA based on parameterized model library LPM Description its function in VHDL language programming Finally on the use of EDA software tools for processing data files being displayed method is discussed.
Platform: | Size: 185344 | Author: wang | Hits:

[Software Engineeringled

Description: 本文主要介绍了 基于FPGA点阵开发的相关内容,对于FPGA开发人员来说是一篇比较不错的文章。-This paper introduces lattice based on the development of FPGA-related content, for FPGA developers is a relatively good article.
Platform: | Size: 133120 | Author: 李明 | Hits:

[File FormatLED_CONTRAL

Description: 基于FPGA的驱动LED静态显示的VHDL实现-FPGA-based LED drivers realize VHDL static display
Platform: | Size: 5120 | Author: | Hits:

[VHDL-FPGA-Verilogled

Description: FPGA和VHDL的全过程和源码,有助你对FPGA和VHDL的认识和学习!-FPGA and VHDL source code of the entire process and will help your understanding of FPGA and VHDL and learning!
Platform: | Size: 422912 | Author: 燕山 | Hits:

[Communication-MobileNiosII-LED-Demo

Description: 这是 Quartues II 的 FPGA SOPC NIOS_II IDE的设历程,对于初学者肯定有很大的帮助-This is a Quartues II of the FPGA SOPC NIOS_II IDE to set the course for beginners will definitely be very helpful
Platform: | Size: 317440 | Author: hanbin | Hits:

[SCMLED

Description: 本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA 公司的 Cyclone II 系列 FPGA 为数字平台,将微处理器、Avalon 总线、LED 点阵扫描控制器、存储器和人机接口控制器等硬件设备集中在一片 FPGA 上,利用片内硬件来实现 LED 点阵的带地址扫描,降低系统总功耗和简化 CPU 编程的同时,提高了系统的精确度、稳定性和抗干扰性能。-This design used the Nios II embedded processor based on SOPC technology. System to ALTERA' s Cyclone II series FPGA for digital platforms, microprocessors, Avalon bus, LED dot matrix scan controller, memory and man-machine interface controller and other hardware devices focused on an FPGA, using on-chip hardware to achieve the LED dot-matrix band address scan, reducing the total system CPU power consumption and simplify programming while increasing the system' s accuracy, stability and anti-jamming performance.
Platform: | Size: 968704 | Author: 叶子 | Hits:

[VHDL-FPGA-VerilogFPGA-LED

Description: 基于FPGA的大屏幕LED点阵显示系统设计-FPGA-based large-screen LED dot matrix display system
Platform: | Size: 286720 | Author: | Hits:

[SCMled

Description: 流水灯fpga(led fpga)
Platform: | Size: 5143552 | Author: lucky421 | Hits:

[VHDL-FPGA-Verilogled

Description: verilog 控制 led灯 基于FPGA开发板(Verilog control LED lamp based on FPGA development board)
Platform: | Size: 7168 | Author: 你亲爱的父亲 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 29 »

CodeBus www.codebus.net