Welcome![Sign In][Sign Up]
Location:
Search - lcd1602 VHDL

Search list

[Program doc用VHDL语言在CPLD_FPGA上实现浮点运算

Description: 用VHDL语言在CPLD/FPGA上实现浮点运算的方法-in VHDL CPLD/FPGA achieve floating-point computation methods
Platform: | Size: 82944 | Author: wei | Hits:

[SCMS9_LCD1602

Description: 这是一个用VHDL语言写的LCD程序,希望对大家有所帮助-This is a VHDL language used to write the LCD process, and they hope to help everyone
Platform: | Size: 545792 | Author: 张侨 | Hits:

[SCMlcd_vhdl2

Description: 用VHDL来实现LCD1602的驱动(实验过)-Use VHDL to achieve LCD1602 driver (experimental too)
Platform: | Size: 1024 | Author: 唐小勇 | Hits:

[VHDL-FPGA-VerilogLCDTest

Description: 用VHDL控制LCD1602的源程序,在实际电路中测试通过。-LCD1602 use VHDL to control the source, in the actual circuit in the test.
Platform: | Size: 2048 | Author: 尹邦坤 | Hits:

[VHDL-FPGA-VerilogLCD1602

Description: LCD1602显示源代码 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是标准的16×2字符型液晶模块上显示字符串; 3-LCD1602 display the source code 1. Source file stored in the src directory, QII the project file stored in the directory Proj 2. Realize the function of the procedure is a standard 16 × 2 character LCD module to display the string 3
Platform: | Size: 716800 | Author: 张海风 | Hits:

[Otherlcd1602

Description: FPGA工程文件 通过FPGA在LCD上显示“this is my frist program"的字体 已经验证,供大家学习使用。-FPGA through the FPGA project file in the LCD display
Platform: | Size: 630784 | Author: 马亮 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 时钟程序 用于FPGA开发板上 在LCD1602上显示时,分,秒,十分之一秒-Clock program for FPGA development board in the LCD1602 display hours, minutes, seconds, tenth of seconds
Platform: | Size: 2048 | Author: lijingfeng | Hits:

[SCMlcd1602

Description: 程序实现的功能是标准的16×2字符型液晶模块上显示字符串;-?绦蚴迪值??| 能是 ????的16 ?? ??
Platform: | Size: 3072 | Author: yuan | Hits:

[Driver DevelopLcd

Description: Lcd_Driver Lcd1602驱动-driver for lcd1602
Platform: | Size: 2048 | Author: zdq | Hits:

[VHDL-FPGA-VerilogFPGA-LCD1602

Description: 基于FPGA的LCD1602显示,可根据实际内容修改显示内容-FPGA-based LCD1602 display can be modified according to the actual contents of display content
Platform: | Size: 489472 | Author: 冀少威 | Hits:

[VHDL-FPGA-VerilogLCD

Description: LCD1602的程序,只需改一改显示常量就可以!在ISE中调试成功-LCD1602 process, just simply show the constants can be! Successful commissioning of the ISE
Platform: | Size: 2048 | Author: zyb | Hits:

[VHDL-FPGA-VerilogLCD1602

Description: lcd1602的vhdl控制程序,稍加修改可显示字库中的任意字符-vhdl of lcd1602 control procedures, slightly modified to display any font characters
Platform: | Size: 2048 | Author: zhijun | Hits:

[OtherFPGALcd1602

Description: lcd1602 fpga 驱动 液晶1602的FPGA 驱动,VHDL编写-lcd 1602 fpga driver
Platform: | Size: 3072 | Author: zrc | Hits:

[Embeded-SCM Develop9927416lcd1602

Description: 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
Platform: | Size: 3072 | Author: zhang | Hits:

[Otherlcd_1602

Description: LCD1602显示 在LCD显示屏上显示特定的一个字符串-lcd1602 display
Platform: | Size: 2048 | Author: 赵云 | Hits:

[Embeded-SCM DevelopLCD_SP1

Description: lcd1602详细控制程序,用于学习lcd1602字符显示,怎样分行显示相应的字符。-lcd1602 detailed control procedures, for learning lcd1602 character display, how to display the corresponding characters branch.
Platform: | Size: 936960 | Author: zhangx | Hits:

[VHDL-FPGA-Veriloglcd1602

Description: FPGA,通过VHDL语言编程,来控制并点亮LCD1602-FPGA control LCD1602
Platform: | Size: 2048 | Author: sw | Hits:

[VHDL-FPGA-Veriloglcd1602

Description: 基于FPGA的lcd1602的vhdl程序设计-design of lcd1602 based on fpga in the lunguary of vhdl
Platform: | Size: 457728 | Author: sun | Hits:

[VHDL-FPGA-VerilogLCD1602

Description: 用VHDL编程,在FPGA上实现串口!已经调试通过,希望一起分享!-Using VHDL programming, the FPGA, Serial! Has been debugged and want to share!
Platform: | Size: 764928 | Author: ncf | Hits:

[VHDL-FPGA-Veriloglcd1602-frequency-meter

Description: 实现测频率功能,用lcd1602显示 简单明了-Achieve the frequency measurement function, with lcd1602 display simple
Platform: | Size: 10010624 | Author: | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net