Welcome![Sign In][Sign Up]
Location:
Search - iic fpga

Search list

[VHDL-FPGA-Verilogiicmainrd_32

Description: 用FPGA实现IIC通讯的主控端,最简化的代码,占用最小FPGA资源-Use FPGA to come ture the main control of the iic comunication, the most simple code and using the least FPGA resource
Platform: | Size: 224256 | Author: 陈雨 | Hits:

[DocumentsUART(FPGA)

Description: 基于FPGA的串行通信UART控制器,采用VHDL语言编写,包含多个子模块。 在ISE或FPGA的其它开发环境下新建一个工程,然后将文档中的各个模块程序添加进去,即可运行仿真。源程序已经过本人的仿真验证。-FPGA-based UART serial communication controller, using VHDL language, includes a number of sub-module. ISE FPGA or in the other developing a new environment, then documentation of the various modules of procedures added to it, will be running simulation. I have been the source of the simulation.
Platform: | Size: 14336 | Author: 李浩 | Hits:

[VHDL-FPGA-VerilogI2C_loader

Description: 用FPGA做主控制器,对IIC从设备配置参数的源程序。Xilinx提供-FPGA master controller, right from the IIC equipment configuration parameters of the source. Xilinx offer
Platform: | Size: 93184 | Author: cloud | Hits:

[DSP programtmsf28xxiic

Description: tms320f2812 iic配置,包括pdf文件和原码.来源于TI公司.-tms320f2812 iic, including pdf files and the original code. from TI Company.
Platform: | Size: 816128 | Author: 侯功岩 | Hits:

[VHDL-FPGA-Verilogi2c

Description: SAA7114 和 FPGA/CPLD之间通讯的程序,本人觉得比较好,而且里面还添加了,ROM,用来存取IIC的常数和读来的数据。-SAA7114 and FPGA/CPLD communication between the procedures, I feel better, but it also added, ROM, used to access the IIC to the constant and time data.
Platform: | Size: 8192 | Author: 张亚伟 | Hits:

[SCMMSP430F149_IIC_DAC5571

Description: 本源码维MSP430F149控制IIC协议的AD芯片DAC5571,并再1602液晶上显示数据 MCU的P1.0、P1.1 端口与DAC5571 的SDA、SCK端口连接,通过在两个GPIO上模拟 I2C时序从而实现对DAC的操作。可以看到,DAC5571 的输出端Vout连接到了跳线座P7 的第 1 脚。如果用短路帽将跳线座J1 的 2 脚 和 3 脚连接,则DAC的输出直接驱动LED,可以通过LED亮度的变化直观地观察到 DAC输出电压值的变化;如果用短路帽将跳线座J1 的2 脚和1 脚连接,则可以用 MSP430 内置的ADC对DAC输出的电压进行采样转换,对ADC和DAC电路同时进行应用。-MSP430F149-dimensional control of the source of the AD Agreement IIC chip DAC5571, and another 1602 on the display data LCD MCU of P1.0, P1.1 ports of the DAC5571 and SDA, SCK-port connectivity, through two GPIO on I2C timing simulation in order to achieve DAC operation. Can be seen, DAC5571 output Vout is connected to a jumper P7 Block 1 foot. If the cap will short-circuit jumper J1 Block, 2 pin and 3 pin connection, the DAC output to directly drive LED, through the LED brightness can be visually observed changes in DAC output voltage changes in value If the cap will short-circuit jumper Block J1 2 feet and 1 foot to connect, you can use the built-in ADC of the MSP430 output voltage DAC sampling conversion of ADC and DAC circuit applications at the same time.
Platform: | Size: 35840 | Author: skywalker | Hits:

[VHDL-FPGA-Verilogi2c_p_altera

Description: IIc总线的源代码(vhdl语言),大家共享一下-IIc bus source code (vhdl language), we share some
Platform: | Size: 1583104 | Author: 侯典华 | Hits:

[VHDL-FPGA-Verilogiic_bus_example

Description: 使用vhdl构建的iic总线,对应与fpga的硬件开发平台-Use VHDL to build the IIC bus, corresponding with the FPGA hardware development platform
Platform: | Size: 217088 | Author: 惠普 | Hits:

[VHDL-FPGA-VerilogFPGA-IIC

Description: 在FPGA内,实现IIC数据接口。verilog源代码-In the FPGA, the realization of IIC data interfaces. verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[VHDL-FPGA-VerilogIIC

Description: fpga实现的IIC通信的例程,注释很详细-fpga implementation of serial communication routines, comments in great detail
Platform: | Size: 362496 | Author: 郭富民 | Hits:

[VHDL-FPGA-VerilogAvt3S400A_Eval_MB_I2C_temp_v10_1_00

Description: xilinx fpga edk开发实例,用I2C总线控制温度传感器-xilinx fpga edk development examples, with the I2C bus control temperature sensor
Platform: | Size: 477184 | Author: 包宰 | Hits:

[VHDL-FPGA-VerilogIIC

Description: IIC FPGA 代码 功能齐全 希望有需要的人下-IIC FPGA code is fully functional
Platform: | Size: 395264 | Author: 邢伟伟 | Hits:

[VHDL-FPGA-VerilogFPGA-IIC

Description: 利用VHDL实现延时程序 很不错的资料 适合学习CHDL-Delay procedure using VHDL implementation very good information for learning CHDL
Platform: | Size: 240640 | Author: qzl001 | Hits:

[VHDL-FPGA-VerilogIIC_Verilog

Description: FPGA数字电子系统设计与开发实例导航 中IIC的实现-IIC FPGA digital electronic system design and development examples navigation
Platform: | Size: 9216 | Author: wangjie | Hits:

[VHDL-FPGA-VerilogIIC-fpga-verilog

Description: 基于fpga的IIC设计,verilog-IIC fpga-based design, verilog
Platform: | Size: 1966080 | Author: nick | Hits:

[VHDL-FPGA-VerilogIIC读写EEPROM发送到PC串口

Description: 能实现用IIC读EEPROM并且将读取的数据通过串口发送到PC端,以及在PC端通过串口发送数据给FPGA,再利用IIC将数据写入EEPROM(The program can realize that FPGA read the data from EEPROM by IIC and then send it to PC by UART,and that PC send the data to FPGA by UART and then write the data to EEPROM by IIC)
Platform: | Size: 246784 | Author: lml_234 | Hits:

[Otheriic

Description: IIC总线数据传输,实现FPGA与eeprom的通信(IIC bus data transmission, to achieve FPGA and EEPROM Communications)
Platform: | Size: 6499328 | Author: 学僧 | Hits:

[VHDL-FPGA-VerilogIIC

Description: 编写FPGA 的模拟I2C通信,用的是altera验证(The preparation of FPGA analog I2C communication, using Altera authentication)
Platform: | Size: 1152000 | Author: 哈哈! | Hits:

[VHDL-FPGA-VerilogIICPractice

Description: 在FPGA上实现IIC总线发送接收的程序(The program of sending and receiving IIC bus on FPGA)
Platform: | Size: 3452928 | Author: giraffe1234 | Hits:

[VHDL-FPGA-Verilog6988946345940

Description: FPGA的IIC串口协议 实现IIC 亲测可用(fpga iic it can provide iic in vivado)
Platform: | Size: 7168 | Author: stakhanov | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net