Welcome![Sign In][Sign Up]
Location:
Search - graycode

Search list

[Other resourceGrayCode

Description: programmed to manipulate the Gray Cyclic Binary Codes.
Platform: | Size: 7856 | Author: guoyanpeng | Hits:

[Other resourceGRAYcode

Description: 二进制码转换为格雷码,整个工程包括了波形文件,在Quartus上的可以直接进行仿真。
Platform: | Size: 29592 | Author: 桃子 | Hits:

[Linux-UnixGrayCode

Description: 此程序为产生gray码GRAYCODE,通过n值产生GRAYCODE,例如,n=3,则其GRAYCODE为000,001,010,011, 010,110,111,101 和 1
Platform: | Size: 1403 | Author: EVE | Hits:

[Other resourceGRAYCODE

Description: 这是一个快速产生Gray码的方法,可以飞快地产生很大的Gray码序列.代码巧妙,高效.
Platform: | Size: 1371 | Author: li | Hits:

[Other resourcegraycode

Description: 构造格雷码的分析程序,用于算法分析,用VC编写,可以构造n位的格雷码-Gray Construction Code Analysis Program for the analysis of algorithms, with VC preparation, can be constructed of n-Gray code
Platform: | Size: 884 | Author: 范铮 | Hits:

[SourceCodegraycode

Description:
Platform: | Size: 3131014 | Author: Achen2211 | Hits:

[Data structsgraycode

Description:
Platform: | Size: 1024 | Author: 范铮 | Hits:

[matlabGrayCode

Description: programmed to manipulate the Gray Cyclic Binary Codes.
Platform: | Size: 7168 | Author: guoyanpeng | Hits:

[VHDL-FPGA-VerilogGRAYcode

Description: 二进制码转换为格雷码,整个工程包括了波形文件,在Quartus上的可以直接进行仿真。-Binary code is converted to Gray code, the entire project, including the waveform files in the Quartus on direct simulation.
Platform: | Size: 29696 | Author: 桃子 | Hits:

[Linux-UnixGrayCode

Description: 此程序为产生gray码GRAYCODE,通过n值产生GRAYCODE,例如,n=3,则其GRAYCODE为000,001,010,011, 010,110,111,101 和 1-This procedure for the selection of gray code GRAYCODE, through the n values GRAYCODE, for example, n = 3, then its GRAYCODE for 000001010011, 010110111101 and one
Platform: | Size: 1024 | Author: EVE | Hits:

[AlgorithmGRAYCODE

Description: 这是一个快速产生Gray码的方法,可以飞快地产生很大的Gray码序列.代码巧妙,高效.-This is a quick way to generate Gray code can be quickly generated a lot of Gray code sequence. Code ingenious and efficient.
Platform: | Size: 1024 | Author: li | Hits:

[VHDL-FPGA-VerilogGRAYcode

Description: gray code encoder and demo
Platform: | Size: 119808 | Author: chen | Hits:

[VHDL-FPGA-VerilogGrayCode

Description:
Platform: | Size: 61440 | Author: LEE | Hits:

[VHDL-FPGA-VerilogGrayCode

Description: 格雷码,Gray Code,,是一种绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。-Gray Code, Gray Code,, is an absolute encoding, the typical Gray code is a kind of reflection characteristics and cycle characteristics of the single-step self-complement, and its circulation, single-step feature eliminates the number of randomly selected major errors when possible, it' s reflective, anti-self-complementary features make the demand is very convenient.
Platform: | Size: 61440 | Author: 王腾 | Hits:

[Data structsGrayCode

Description: 格雷码(Gray code),又叫循环二进制码或反射二进制码 在数字系统中只能识别0和1,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。 -Gray code (Gray code), also known as cyclic binary code or binary code reflected in the digital system can only identify 0 and 1, all data should be converted into binary code to be processed, Gray code is a right code, using the absolute encoding a typical Gray code is a kind of reflection characteristics and cycle characteristics of single-step self-complement, and its circulation, single-step feature eliminates the number of randomly selected major errors when possible, its reflection, self-complementary features make the request Anti-very convenient.
Platform: | Size: 9216 | Author: 夏雪 | Hits:

[matlabGrayCode

Description: matlab环境下格雷码的编码,二进制译成格雷码,反之- gray coding in Matlab environment,Binary translated into gray yards
Platform: | Size: 7168 | Author: wangyapeng | Hits:

[2D Graphicgraycode

Description: This program converts binary code to gray code.
Platform: | Size: 1077248 | Author: donginshin | Hits:

[assembly languageGrayCode

Description: Gray COde lookup table example on assembly
Platform: | Size: 9216 | Author: renatox23 | Hits:

[Other systemsgraycode

Description: 使用此指令可以将整数转换成格雷码,或者相反。-Use this command to integer convert Gray code, or vice versa.
Platform: | Size: 4096 | Author: 李阳 | Hits:

[matlabGraycode

Description: 用matlab产生n位格雷码(n<=10)在通信编码前经常采用-generate gray codes whose length is less than or equal to 10 by matlab .it is very usful before encoding in communication
Platform: | Size: 1024 | Author: leo yang | Hits:
« 12 »

CodeBus www.codebus.net