Welcome![Sign In][Sign Up]
Location:
Search - fpga sata

Search list

[Other基于FPGA的SATA控制器

Description: 基于FPGA的SATA控制器
Platform: | Size: 547893 | Author: szryanzhang@163.com | Hits:

[VHDL-FPGA-Verilogata.tar

Description: 使用verilog和VHDL两种硬件描述语言实现了一个ATA硬盘控制器,包括源代码、测试仿真文件和说明文档-The use of two types of Verilog and VHDL hardware description language to achieve an ATA hard drive controller, including source code, testing, simulation files and documentation
Platform: | Size: 835584 | Author: qinlei | Hits:

[VHDL-FPGA-Verilogsata_device_model

Description: sata_device_model,对做硬盘控制器的朋友有帮助-sata_device_model, to make the hard disk controller has a friend help
Platform: | Size: 17412096 | Author: | Hits:

[VHDL-FPGA-VerilogVerification_eBook

Description: 关于FPGA验证的几本经典的国外教材:1.Verificatiom_Methodology_Manual_for_System_Verilog.pdf;2.Advanced_Formal_Verification_eBook.pdf 3.Functional_Verification_Coverage_Measurement_and_Analysis.pdf 4.SOC_Verfication_Methodology_and_Techniques.pdf-FPGA proven on a few of the classic materials abroad: 1.Verificatiom_Methodology_Manual_for_System_Verilog.pdf 2.Advanced_Formal_Verification_eBook.pdf 3.Functional_Verification_Coverage_Measurement_and_Analysis.pdf 4.SOC_Verfication_Methodology_and_Techniques.pdf
Platform: | Size: 9328640 | Author: 行路人 | Hits:

[VHDL-FPGA-Verilogaips7108.tar

Description: SATA 仿真模型 SATA 仿真模型-Simulation Model SATA SATA SATA simulation model simulation model
Platform: | Size: 17650688 | Author: 罗宇平 | Hits:

[VHDL-FPGA-VerilogDESalgorithmforfpgadesignsata

Description: 利用fpga接sata的方案,在fpga进行des加密,难得的参考价值-Sata using fpga access program, conducted in fpga des encryption, unique reference
Platform: | Size: 3741696 | Author: tianzhen | Hits:

[VHDL-FPGA-VerilogSATA_Verification_IP-SystemVerilog

Description: SATA Verification IP - SystemVerilog,是使用FPGA做的sata接口部分,是一篇文档-SATA Verification IP- SystemVerilog, is to use FPGA to do sata interface part, is a document
Platform: | Size: 403456 | Author: | Hits:

[VHDL-FPGA-VerilogSATA-Connectivity-solutions-for-Xilinx-FPGAs.pdf.

Description: This gives an overview over the Serial ATA (SATA) protocol and the implications when integrating SATA into an FPGA-based programmable system. Besides details of the different protocol layers, we will discuss the hardware and software components for building a complete, reliable, high-performance SATA solution by utilizing a design platform from Missing Link Electronics (MLE).
Platform: | Size: 390144 | Author: mancity | Hits:

[VHDL-FPGA-Verilogxapp716_release

Description: 基于FPGA的SATA控制器,可以完成SATA1.0协议-FPGA-based SATA controller, you can complete SATA1.0 agreement
Platform: | Size: 824320 | Author: 李建 | Hits:

[VHDL-FPGA-VerilogFPGA-SATA

Description: 使用FPGA实现SATA协议接口,思路清晰。适用性强,是不可多得的资料。文档为硕士论文。-FPGA implementation agreement with SATA interface, clear thinking. Applicability, is the rare information. Document for the master' s thesis.
Platform: | Size: 1512448 | Author: ERICQ | Hits:

[Other444.RAR

Description: 基于FPGA的SATA控制器 20100730-SATA CONTROLER BASE ON THE FPGA DEVICE
Platform: | Size: 547840 | Author: pzf | Hits:

[Crack HackEncryption-SATA-IP-Based-on-FPGA

Description: 本文首先分析了目前常用的硬盘数据加密方法,并在比较各种加密方案的基础上给出了基于FPGA的加解密SATA IP设计方案。本文介绍设计SATA IP相关的基础知识,包括SATA的体系结构。本sata IP已在Xilinx spartan-6系列上实现并产品化,具有低成本优势,且可以根据用户意愿更换加密算法和使用私有的加密算法。本文还论述了加密SATA IP的各种应用前景。-This paper firstly analyzes several common ways of Hard Disk data protection, and then presents the design scheme of Encryption/Decryption SATA IP based on FPGA after comparison. Because of the common use of SATA2.0 interface, this paper then introduces the system structure of SATA2.0 protocol. The sata IP has been achieved and the products on the Xilinx spartan-6 series, they has low cost advantage. This article also discusses the outlook for a variety of applications to encrypt SATA IP s.
Platform: | Size: 1940480 | Author: liuYue | Hits:

[Software EngineeringZynq-Mini-ITX-Rev-E

Description: Zynq Mini-ITX 单芯片可编程SOC(ARM+FPGA)开发板电路原理图 -Zynq Mini-ITX Development Board Schematics the Zynq Mini-ITX development board features 2 GB DDR3 SDRAM, PCIe Gen2 x16 Root Complex slot (x4 electrical), SATA-III interface, SFP interface, QSPI Flash memory, HDMI interface, LVDS touch panel interface, Audio Codec, a 10/100/1000 Ethernet PHY, a USB 2.0 4-port hub, a microSD card interface, and a USB-UART port.
Platform: | Size: 2623488 | Author: 王广龙 | Hits:

[Com Portgroundhog_v_0_2

Description: Groundhog implements a SATA host bus adapter.-Groundhog implements a SATA host bus adapter. This Verilog-based project creates an easy-to-use interface between a user circuit on a Xilinx FPGA and a SATA hard drive or SSD.
Platform: | Size: 4618240 | Author: spencer | Hits:

[VHDL-FPGA-VerilogSATA_IP_FPGA

Description: SATA协议简要分析及其FPGA实现说明- SATA1.0 IP based on Fpga
Platform: | Size: 4253696 | Author: wang | Hits:

[VHDL-FPGA-Verilogsata_controller_core_latest.tar

Description: VHDL for SATA 2.0, used for FPGA
Platform: | Size: 399360 | Author: abc4329803 | Hits:

CodeBus www.codebus.net