Welcome![Sign In][Sign Up]
Location:
Search - for generate vhdl

Search list

[Othermif

Description: 使用metlab生产正弦波和三角波的采样值,供vhdl等语言调用来产生波形-use metlab production sine wave and triangular wave of sampling, for languages such as call vhdl to generate waveforms
Platform: | Size: 1024 | Author: feng | Hits:

[matlabpn_generator

Description: PN码发生器的matlab程序,对于写vhdl代码有很重要得参考价值-PN code generator matlab procedures, write VHDL code for a very important reference value was
Platform: | Size: 1024 | Author: ylt | Hits:

[VHDL-FPGA-Verilogvhdlgenerateofsentencegrammarapplication

Description: vhdl实验 计数器:generate语句的应用-Experimental VHDL Counter: generate statement application
Platform: | Size: 1024 | Author: 王天辉 | Hits:

[MiddleWareM_generate

Description: m序列产生编码,vhdl硬件实现用于实现调制解调-m sequence code generated, vhdl hardware implementation for the realization of modulation and demodulation
Platform: | Size: 247808 | Author: xiaohuaifeng | Hits:

[VHDL-FPGA-VerilogRandom_Number_generator

Description: 此代码用于产生系统设计仿真阶段需要的仿真数据,运行的结果是一系列随机数。编译后可生成数据产生模块,在其他工程中之间调用之作为数据输入即可,对vhdl涉及仿真有一定的帮助-This code is used for creating a system design simulation stage of simulation data, the results of running a series of random numbers. Compiler can generate data generated modules, in other works as a call between the data input to the VHDL simulation involves a certain degree of help
Platform: | Size: 35840 | Author: 王弋妹 | Hits:

[VHDL-FPGA-Verilogwork5FREQTEST

Description: 8位十六进制频率计设计 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的输入信号脉冲计数允许信号;1s计数结束后,计数值被锁入锁存器,计数器清零,为下一测频计数周期做好准备。测频控制信号可由一个独立的发生器(FTCTRL)来产生。-8-bit hexadecimal Cymometer designed in accordance with the definition of frequency and frequency of the basic principles of measurement to determine the frequency of the signal must have a pulse width of the input signal for 1s permit pulse counting signal 1s counting after the total value was locked into the lock depositors, counters cleared for the next count cycle frequency measurement ready. Frequency control signal generator may be an independent (FTCTRL) to generate.
Platform: | Size: 244736 | Author: lkiwood | Hits:

[OtherTrafficlight

Description: 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code countdown counter (count pulse 1HZ), used to record the duration of each state because the duration of each state are inconsistent, so these counters should be placed in several different presets countdown of numerical output to two digital display procedures were set up four processes: ① process P1, P2 and P3 form two functions with a preset number of decimal counters, of which P1 and P3, respectively, for months, and 10-bit counters, P2 to generate a 10-bit The binary signal ② P4 is the status register, control the state of the conversion, and six output control signals of traffic lights.
Platform: | Size: 1024 | Author: kid | Hits:

[Com Portserialrxtx

Description: 个人原创,已经测试通过。功能:完成串行数据与RS232格式数据的收发转换,ST16C450+串并双向转换兼收发时序产生功能,优点:省去了传统的ST16C450需要CPU干预的缺点,简化设计, 纯硬件自动转换,缺点:忽略各种异常报警,适用于误码测试时使用(传输错误由误码测试功能模块完成检测)。-Personal originality, have the test. Function: the completion of serial data and send and receive RS232 data format conversion, ST16C450+ String and two-way conversions and transceivers generate timing features, advantages: eliminating the traditional need for CPU intervention ST16C450 shortcomings, simplify the design, pure hardware automatically converted, disadvantages: ignore the various abnormal alarm, error test applies to the use of (transmission errors by the error detection test function modules completed).
Platform: | Size: 26624 | Author: fg0112 | Hits:

[Special Effectsvideo_process_base_on_DSPandFPGA

Description: 基于高速数字信号处理器(DSP) 和大规模现场可编程门阵列( FPGA) ,成功地研制了小型 化、低功耗的实时视频采集、处理和显示平台. 其中的DSP 负责图像处理,其外围的全部数字逻辑功能都集成在一片FPGA 内,包括高速视频流FIFO、同步时序产生与控制、接口逻辑转换和对视频编/ 解码器进行设置的I2 C 控制核等. 通过增大FIFO 位宽、提高传输带宽,降低了占用EMIF 总线的时间 利用数字延迟锁相环逻辑,提高了显示接口时序控制精度. 系统软件由驱动层、管理层和应用层组成,使得硬件管理与算法程序设计彼此分离,并能协同工作. 系统中的图像缓冲区采用了 三帧的配置方案,使得该平台最终具有对PAL/ N TSC 两种制式的全分辨率彩色复合视频信号进行实时采集、显示和处理的能力.-Based on high-speed digital signal processor (DSP) and large-scale field programmable gate array (FPGA), successfully developed a smaller, low-power real-time video capture, processing and display platform. One of the DSP is responsible for image processing, all its external digital logic functions are integrated in a FPGA, including high-speed video streaming FIFO, synchronous sequential generate and control, conversion and interface logic for video encoder/decoder to set up the control of nuclear and other I2 C. through increased FIFO bit width, increase the transmission bandwidth, reducing the time occupied by EMIF bus delay phase-locked loop using digital logic,
Platform: | Size: 546816 | Author: John | Hits:

[Communication-Mobileps110

Description: bpsk信号调制,用于产生一种雷达信号。-BPSK signal modulation, used to generate a radar signal.
Platform: | Size: 1024 | Author: wang | Hits:

[VHDL-FPGA-VerilogQuartusIIandModelSim

Description: 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过 MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。 -This article describes how to enter at QUARTUS II program file, generate netlists and standard delay file, and then through the ModelSim for functional simulation and post-simulation process, mainly for the diagrams, containing all the code and the simulation waveform.
Platform: | Size: 277504 | Author: 朱雯 | Hits:

[Windows DevelopCPU

Description: RC4 Encrpytion 1.Encrpyt strings 2.Create pairs of keys for encoding and decoding automatically 3.Present the crptograph 4.Decode the crptograph to get the plaintext -This CPU has basic instruction set, and we utilize its instruction set to generate a program to verify its performance. Four parts constitute a simple CPU: the control unit, the internal registers, the ALU and instruction set.
Platform: | Size: 34816 | Author: Jane | Hits:

[Mathimatics-Numerical algorithmsdft

Description: verilog语言实在点变换DFT源代码,可以配合软核或者其他CPU进行综合FFT变换,也可以单独使用生成module!-verilog language is point FFT transform source code, can tie in with the soft-core CPU, or other integrated FFT transform, it can be used to generate module!
Platform: | Size: 1024 | Author: 刘庆 | Hits:

[SCM0809AD

Description: 模拟产生ADC0809时序 ,对ADC0809输入一个模拟量,进行A/D转化。-ADC0809 generate timing simulation of an ADC0809 analog input for A/D conversion.
Platform: | Size: 1024 | Author: 柳苏 | Hits:

[Crack Hackkhalil2006_true_random_number_generator

Description: a true random number generator (TRNG) in hardware which is targeted for FPGA-based crypto embedded systems. All crypto protocols require the generation and use of secret values that must be unknown to attackers.Random number generators (RNG) are required to generate public/private key pairs for asymmetric algorithm such as RSA and symmetric algorithm such as AES.
Platform: | Size: 418816 | Author: Hassan Abdelaziz | Hits:

[VHDL-FPGA-Veriloggraphics_pipeline

Description: Implementation of GPU (Graphics Processing Unit) that rendered triangle based models. Our goal was to generate complex models with a movable camera. We wanted to be able to render complex images that consisted of hundreds to thousands of triangles. We wanted to apply interpolated shading on the objects, so that they appeared more smooth and realisitc, and to have a camera that orbitted around the object, which allowed us to look arond the object with a stationary light source. We chose to do this in hardware, because our initial implementation using running software on the NIOS II processor was too slow. Implementing parallelism in hardware is also easier to do than in software, which allows for more efficiency. We used Professor Land s floating point hardware, which allowed us to do calculations efficiency, which is essential to graphics.
Platform: | Size: 665600 | Author: Praveen | Hits:

[Industry researchpwm

Description: counter for pwm in order to generate pulses for the module it is required to write a program for counter
Platform: | Size: 2048 | Author: penguin | Hits:

[VHDL-FPGA-Verilogvga2

Description: VHDL code for UP2 board of Altera, that generate a video signal to VGA port.
Platform: | Size: 332800 | Author: Lokous | Hits:

[VHDL-FPGA-VerilogFPGArealiztionofdigitalsignalprocessing

Description: 数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHDL代码的程序 cic.exe ---CIC滤波器计算参数的程序 -Digital Signal Processing FPGA realization of practical procedures and documents, there are sine.exe--- input width. Sine wave output of the corresponding csd.exe--- Table mif file to find the integer and fractional number of the volume of standard symbols (canonical signed digit, CSD) Expression Programming fpinv.exe--- countdown procedures for calculation of floating-point form dagen.exe--- documents distributed algorithm to generate HDL " onclick =" tagshow (event) " class =" t_tag " > VHDL program code cic.exe--- CIC filter process parameters
Platform: | Size: 260096 | Author: kevin | Hits:

[VHDL-FPGA-Verilogsamlecode.vhdl

Description: THis code describes how to use the pwm singal generator and how to generate this using VHDL>
Platform: | Size: 17408 | Author: Jas | Hits:
« 12 3 »

CodeBus www.codebus.net