Welcome![Sign In][Sign Up]
Location:
Search - fft VERILOG FPGA

Search list

[WaveletFPGA-FFT

Description: 说明如何在FPGA中实现FFT-on how to achieve the FFT FPGA
Platform: | Size: 60416 | Author: 风香甜 | Hits:

[OtherFFT16

Description: 基于FPGA的16点FFT快速傅立叶变换的Verilog源代码。-the FFT implement of Verilog based on FPGA
Platform: | Size: 2048 | Author: lsd | Hits:

[VHDL-FPGA-Verilogcf_fft_2048v

Description: 基于FPGA的2048点FFT的verilog实现的源代码。-FPGA-based 2048-point FFT verilog the source code.
Platform: | Size: 26624 | Author: elber | Hits:

[VHDL-FPGA-VerilogFFT_0f_FPGA_Design

Description: FFT处理器的FPGA设计,详细论证设计方案,希望对大家有所帮助。-FFT processor, FPGA design, detailed design verification program, and they hope to be helpful to everyone.
Platform: | Size: 122880 | Author: | Hits:

[VHDL-FPGA-Verilogcordic

Description: cordic verilog 程序及仿真结果 8级流水线-cordic verilog simulation results procedures and eight lines
Platform: | Size: 1024 | Author: elisen | Hits:

[VHDL-FPGA-VerilogVerilog

Description: Verilog教程,讲述Verilog在cpld/fpga中从设计到仿真全过程。-Verilog tutorial, Verilog described in cpld/fpga simulation from the design to the entire process.
Platform: | Size: 2479104 | Author: pangyugang | Hits:

[source in ebookbutfly4

Description: 基4-FFT蝶形单元实现,按照FPGA内部的乘法器功能编写的-4-FFT butterfly-based unit to achieve, in accordance with the internal FPGA multiplier feature prepared
Platform: | Size: 1024 | Author: 苏菲 | Hits:

[VHDL-FPGA-VerilogFPGAREAL

Description: 信号处理FPGA实现参考,IEEE transaction 的一篇文章。主要针对信号处理中加窗、FFT、VSLI快速实现中误差地等问题。-FPGA realization of a reference signal processing, IEEE transaction of an article. Mainly for signal processing windowing, FFT, VSLI rapid error problems.
Platform: | Size: 308224 | Author: 卓智海 | Hits:

[VHDL-FPGA-Verilog16FFT

Description: 基于FPGA的16点FFT实现VEILOG-FPGA 16FFT VERILOG
Platform: | Size: 2048 | Author: 任杏 | Hits:

[VHDL-FPGA-VerilogFFT_verilog

Description: verilog实现的FFT变换,经硬件测试其功能与Altera的FFT IP核相近-verilog implementation FFT transform, through hardware, test its functionality with Altera' s FFT IP core similar to
Platform: | Size: 618496 | Author: culun | Hits:

[VHDL-FPGA-Verilogaltera_fft

Description: alter官方fft程序 使用verilog编写 需要的同学可以下载-alter the official fft program uses verilog prepared students in need can be downloaded
Platform: | Size: 989184 | Author: 廖国杰 | Hits:

[VHDL-FPGA-VerilogFPGA_FFT

Description: 基于IP核的FPGA FFT算法模块的设计与实现 在QUATUSII下实现-IP-based core module FPGA FFT algorithm design and implementation be achieved in QUATUSII
Platform: | Size: 222208 | Author: linxing | Hits:

[VHDL-FPGA-VerilogFFT

Description: FFT的FPGA实现,使用verilog实现-FFT FPGA
Platform: | Size: 1208320 | Author: libing | Hits:

[VHDL-FPGA-Verilogfft

Description: 用Verilog语言实现 fpga 上的 fft功能-The fft function to achieve fpga
Platform: | Size: 2048 | Author: linux | Hits:

[VHDL-FPGA-Verilogfft_2011_3_23(COMPLETE-FFT1024)

Description: VERILOG FFT IP核调用,以及其控制文件-VERILOG FFT IP core call, as well as its control file
Platform: | Size: 20978688 | Author: 贾斌 | Hits:

[VHDL-FPGA-Verilogsynth_fft

Description: fftprocessing can complete 256 pointsFFT.-Hardware Description Language(HDL)is an advanced electronic designmethod.After HDL was put into use,it has draw great attention and gained popularity.The design used Verilog HDL and Schematic for entry tools having good effect in the system design,Meanwhile,it adopted the core provided by Xilinx/nc. improving the design efficiency.The whole design which is implemented inXC2S600E device relied on ISE and advanced hierarchy design mind.Furthermore,it is simulated and verified.The frequency attains to 40.64MHz.this paper aims at demonstration the applying FPGA to FFT signal processing can complete 256 pointsFFT.
Platform: | Size: 56320 | Author: zzy | Hits:

[VHDL-FPGA-VerilogDigital-Signal-Processing-with-FPGA

Description: FPGA结合DSP设计,如FIR、IIR滤波器,CORDIC算法,多重采样率信号处理,FFT,有对应的VHDL/Verilog 代码code-FPGA Combines with DSP, FIR 、IIR Digital Filters,CORDIC,FFT,Adaptive Filters,VHDL/Verilog code
Platform: | Size: 10501120 | Author: rickdecent | Hits:

[DSP programfft

Description: fpga,fft, verilog HDL codes
Platform: | Size: 5583872 | Author: mrv | Hits:

[OtherFFT v1

Description: IP core fft verilog code example
Platform: | Size: 5766144 | Author: mrv | Hits:

[VHDL-FPGA-Verilogfft-dit-fpga-master

Description: 快速傅里叶变换verilog代码。时域抽取(the code of fft in verilog. DIT algorithm)
Platform: | Size: 26624 | Author: slplion | Hits:
« 12 3 »

CodeBus www.codebus.net