Welcome![Sign In][Sign Up]
Location:
Search - debounce

Search list

[VHDL-FPGA-VerilogDEBOUNCE

Description: 一个小程序,弹跳消除电路,可消除按健的毛刺干扰-a small procedure, bouncing elimination circuit, according to remove the burr-interference
Platform: | Size: 1024 | Author: 相耀 | Hits:

[SCMKeydeb

Description: * KeyDebounce Accept new key reading, handle timing for debounce & slew * KeyId Report which key is currently pressed * KeySlewTimeSet Accept slew time for key currently pressed -KeyDebounce* Accept new key reading, handle timing for debounce
Platform: | Size: 3072 | Author: Qu chun lei | Hits:

[VHDL-FPGA-Verilogdebounce

Description: 基于VHDL的键盘去抖动电路 基于VHDL的键盘去抖动电路-VHDL-based keyboard to jitter circuit VHDL-based keyboard to jitter circuit
Platform: | Size: 288768 | Author: 叶金伟 | Hits:

[OS Developdebounce

Description: 键盘防抖程序设计,模块化程序;不得不看的好程序,好家伙。-Reduction keyboard programming, modular procedures had a good look at procedures, Goodfellas.
Platform: | Size: 1024 | Author: 吴少 | Hits:

[MiddleWaredebounce

Description: 按键消抖程序,用Verilog硬件描述语言编写,实现了按键消抖动作-Buffeting eliminate key procedures, using Verilog hardware description language, the realization of the keys for jitter elimination
Platform: | Size: 121856 | Author: liujiani | Hits:

[VHDL-FPGA-VerilogSwitch_debounce

Description: Switch Debounce for Keypad and Button press based designs
Platform: | Size: 1024 | Author: Dhi | Hits:

[VHDL-FPGA-VerilogDebouncer_Ver2

Description: super fast debounce button on vhdl, xilinx xc
Platform: | Size: 1024 | Author: Terente | Hits:

[VHDL-FPGA-Verilogfpga_debounce_filter

Description: fpga debounce filter code in vhdl
Platform: | Size: 39936 | Author: lyle | Hits:

[VHDL-FPGA-Verilogdebounce

Description: a key debounce logic using VHDL
Platform: | Size: 101376 | Author: tg | Hits:

[Other Embeded programKeydebounce

Description: this the program for keypad debounce in P89c51 microcontroller kit-this is the program for keypad debounce in P89c51 microcontroller kit
Platform: | Size: 1024 | Author: srikanth | Hits:

[VHDL-FPGA-Verilogsw_debounce

Description: 这是按键消抖试验,具有很好的可移植性。在EPM240开发板上验证过的-This is the key debounce test, with good portability. In the development board verified EPM240
Platform: | Size: 1024 | Author: 吴敬飞 | Hits:

[JSP/Javacwiczenie34

Description: debounce on spartan 3a krecenie galka
Platform: | Size: 315392 | Author: mateusz | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 键盘去抖,电子密码锁,键盘输入去抖vhdl语言程序-Keyboard debounce
Platform: | Size: 4096 | Author: 范萍伟 | Hits:

[VHDL-FPGA-VerilogKey-debounce

Description: 键盘消除抖动,在CPLD的开发板上实现键盘消抖的程序代码-Key debounce
Platform: | Size: 34816 | Author: Jingeliang17 | Hits:

[VHDL-FPGA-Verilogverilog-button-debounce

Description: verilog 3种方法实现毛刺干扰的消除,借助按键防抖的思想-verilog 3 ways to achieve the glitch elimination, anti-shake with the key ideas
Platform: | Size: 2048 | Author: 小小 | Hits:

[VHDL-FPGA-Verilogdebounce

Description: Switch debounce unit (written in VHDL).
Platform: | Size: 20480 | Author: | Hits:

[Other Embeded programTimer-key-debounce

Description: 通过定时器定时来给按键消抖,每隔一段时间进行一次定时中断。-Timer key debounce
Platform: | Size: 1024 | Author: 杨光 | Hits:

[VHDL-FPGA-Verilogdebounce

Description: 用Verilog实现的消抖程序的例子,用Verilog实现的消抖程序的例子-Verilog debounce
Platform: | Size: 7528448 | Author: 下一个雨天 | Hits:

[DNAKey-debounce-display

Description: 按键通过状态机消抖,通过数码管将值显示出来。已经调试好,可直接用-Key debounce state machine, by the value of the digital display. Debugging has been good, can be directly used
Platform: | Size: 547840 | Author: 龙源 | Hits:

[VHDL-FPGA-VerilogMICROCHIP程序实例-debounce按键消抖

Description: MICROCHIP程序实例-debounce按键消抖(Microchip Program instance-debounce button Shake)
Platform: | Size: 101376 | Author: zhanghongshuai | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net