Welcome![Sign In][Sign Up]
Location:
Search - de1 nios

Search list

[VHDL-FPGA-Verilogtest11

Description: 在altera de1的板子上安装fat12fat16fat32文件系统,已经测试成功,直接能用-In altera de1 installed on the board fat12fat16fat32 file system has been tested successfully, the direct use
Platform: | Size: 8378368 | Author: 245680 | Hits:

[VHDL-FPGA-VerilogVGA_Ctrl

Description: 基于NIOS II 的DE1开发板的VGA 控制器VGA控制模块主要控制VGA模块的开始和其运行的状态,需要写一个Avalon 从端口响应CPU的控制信号,继而控制整个模块的运行,-Based on the DE1 of the NIOS II development board VGA controller to control the VGA module VGA main control module and its operation began, and the need to write a response to Avalon from the CPU ports of the control signal, and then control the operation of the entire module,
Platform: | Size: 1024 | Author: 黄涛 | Hits:

[VHDL-FPGA-VerilogFPGA-DE1-PACMAN

Description: Pacman 4 DE1-FPGA-Board
Platform: | Size: 943104 | Author: bert1970 | Hits:

[VHDL-FPGA-VerilogAltera_DE1_Training_Courses_Multimedia_Platform.zi

Description: Altera DE1 多媒体平台训练课程 视频教程-Altera DE1 training courses multimedia platform Video tutorial
Platform: | Size: 7545856 | Author: xiaoxu | Hits:

[VHDL-FPGA-VerilogDE1_NIOS

Description: Altera DE1的NIOS源程序,非常有参考价值,可直接投入使用-the niosII project of Altera DE1 borad. It can be used directly
Platform: | Size: 1172480 | Author: gaoyukun | Hits:

[VHDL-FPGA-VerilogGPS

Description: 基于NIOS驱动ALTERA DE1开发板的GPS模块工程-based on the nios ii drive the gpa module of altera de1 develop board,it s only a reference project
Platform: | Size: 13384704 | Author: 梁重 | Hits:

[VHDL-FPGA-VerilogLCDPS2

Description: 基于nios ii 驱动altera de1开发板上的lcd和ps2鼠标模块工程-based on the nios ii drive the lcd and ps2 module of altera de1 develop board
Platform: | Size: 13129728 | Author: 梁重 | Hits:

[VHDL-FPGA-VerilogIICbus

Description: 基于nios ii 控制altera de1 开发板上iic总线实现与at24c02通信-Based on nios ii controlled altera de1 Development Board iic bus for communication with the at24c02
Platform: | Size: 13524992 | Author: 梁重 | Hits:

[VHDL-FPGA-VerilogDE1_NIOS

Description: DE1开发板案例,基于NIOS的使用DE1_NIOS.rar-DE1 development board case, based on the use of DE1_NIOS.rar NIOS
Platform: | Size: 10880000 | Author: wangting | Hits:

[VHDL-FPGA-VerilogUART_DMA

Description: 基于DE1的nios的串口sdram通信例程-Based on DE1' s nios serial communication routines sdram
Platform: | Size: 11353088 | Author: | Hits:

[VHDL-FPGA-Verilogtest

Description: DE1开发板基于Nios ii的10秒钟语音录放程序-DE1 development board based on Nios ii 10 seconds voice recording program
Platform: | Size: 14336 | Author: thomas yang | Hits:

CodeBus www.codebus.net