Welcome![Sign In][Sign Up]
Location:
Search - dc motor speed control using pwm

Search list

[transportation applicationsspeedtest4

Description: 直流电机调速 利用c语言进行调pwm,然后对反馈进行分析,从而调整小车速度 -DC Motor Speed Control using c language tune pwm, and then an analysis of the feedback, so adjust the speed of car
Platform: | Size: 1024 | Author: 菜鸟 | Hits:

[assembly languageLMD18245

Description: 用LMD18245来驱动直流电机,利用PWM波可以控制电机的转速,也可以控制电机的正反转,也可以记忆电机转角等。-LMD18245 to drive with DC motor, using PWM wave can control motor speed, but also can control the motor
Platform: | Size: 1024 | Author: 刘俊 | Hits:

[DSP programDSP

Description: This programme is to control DC motor in a certain speed using PWM. The target speed is "r", it is the speed in 1s. The sample rate is 0.1s, so the actual speed target is "rc"=r/10. The "r" and "rc" are integer, and the range of "r" is from 50 to 100. Keep rc=r/10!!! The array "speed1" and "speed2" are the control result, in 0.1s and 1s. The length of "speed1" is 2400, and "speed2" is 240. The "pw" and "nw" are the parameters of PWM. The test will last 4 min.-err
Platform: | Size: 2048 | Author: 李前夕 | Hits:

[Embeded-SCM Developdc-motor

Description: PWM 控制 直流电机调速程序 利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,电机速度变慢。 按K2,PWM值减小,则占空比增加,电机速度加快。-PWM DC Motor Speed Control using Timer control procedures have variable duty cycle PWM wave by K1, PWM values increase, the duty cycle decreases, the electrical slow down. By K2, PWM values decrease, then increase the duty cycle, the electrical speed.
Platform: | Size: 32768 | Author: kamus | Hits:

[VHDL-FPGA-VerilogVHDL

Description: PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路;  FPGA中正/反转方向控制电路 -PWM control is a certain cycle, a different duty cycle square wave signal, when the duty cycle is high, higher motor speed, or lower motor speed. When the PWM waveform generated using FPGA, the FPGA internal resources only can be achieved, the figure one comparator output termination setting, the other linear incremental counter output termination. When the value of linear counter output is less than low-level settings, when the counter output is greater than high settings, so that by changing the settings can produce different duty cycle square wave signal, DC motor control to achieve the purpose of speed. DC motor control circuit mainly by 2 parts, as shown in Figure 1:  FPGA in the PWM pulse width modulation signal generator circuit Chiang Kai-shek  FPGA/reverse direction control circuit
Platform: | Size: 37888 | Author: 袁玉佳 | Hits:

[Embeded-SCM DevelopDCMotorSpeed

Description: embedded C code for DC motor speed control using PWM method
Platform: | Size: 2048 | Author: SATHEESH | Hits:

[Other Embeded programmotor

Description: 利用89C51的定时器产生PWM控制直流电机的转速及方向-89C51 generated using the timer PWM DC motor speed control and direction of
Platform: | Size: 442368 | Author: sky | Hits:

[SCMuse_mcu_to_control_dcmotor

Description: 本设计以AT89C51单片机为核心,以4*4矩阵键盘做为输入达到控制直流电机的启停、速度和方向,完成了基本要求和发挥部分的要求。在设计中,采用了PWM技术对电机进行控制,通过对占空比的计算达到精确调速的目的。-The design AT89C51 microcontroller as the core, 4* 4 matrix keyboard as input to control DC motor start-stop, speed and direction, completed the basic requirements and to play some of these requests. In the design, using a PWM technique to control the motor, through the calculation of duty cycle to achieve accurate timing purposes.
Platform: | Size: 10240 | Author: wangyin | Hits:

[SCMPWM

Description: 单片机利用PWM信号控制直流电机调速 接L298N时相应的管脚上最好接上10K的上拉电阻。 -Microcontroller using PWM signal control DC motor speed access L298N best when the corresponding pin of the pull-up resistor connected to the 10K.
Platform: | Size: 1024 | Author: 绿叶露珠 | Hits:

[VHDL-FPGA-Verilogeda

Description: 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the design of DC motor PwM controller, DC motor speed control. Introduced with the Verilog HDL language programming controller PwM DC PwM generated module, serial communication module, steering adjustment module and other functions, the system is an external D/A converters and analog comparators, simple structure, high control precision, there a wide range of applications. Meanwhile, the introduction of PC control system control functions can be easily remote control the motor.
Platform: | Size: 4268032 | Author: 杨汉轩 | Hits:

[SCMstc12dianji.RAR

Description: 直流电机调速程序,采用STC12C1052单片机,自带两路PWM输出,也可以使用软件中断定时来实现,速度闭环控制,采用霍尔反馈-DC motor speed control program, using STC12C1052 SCM, comes with Two PWM outputs can be achieved using software interrupt timer, speed control, using Hall feedback
Platform: | Size: 124928 | Author: zhangyang | Hits:

[SCMPWM-motor

Description: 采用51单片机PWM方式控制直流电机转速,闭环系统,转速采用数码管显示,采用PROTEUS仿真-With 51 single-chip PWM control of DC motor speed, closed-loop system, the use of digital speed display, using PROTEUS simulation
Platform: | Size: 76800 | Author: 陈永煌 | Hits:

[Other Embeded programProgram

Description: code for dc motor speed control using pwm
Platform: | Size: 68608 | Author: fawziya | Hits:

[SCMff1584189003

Description: 直流电机调速利用c语言进行调pwm,然后对反馈速度进行分析,从而调整小车速度。包含Proteus仿真程序。-DC motor speed control using c language tune pwm and feedback speed analysis, so as to adjust the car speed. Contains the Proteus simulation》
Platform: | Size: 1024 | Author: Junrui | Hits:

[SCM-dc-motor-control

Description: 用单片机完成直流电机的调速。也就是传统所说的PWM的整形-By using single chip processor to complete dc motor speed control.
Platform: | Size: 9216 | Author: lipeiyuan | Hits:

[SCMMOTOR_SPEED

Description: 用PWM技术对直流电机进行调速,并用LED进行指示-DC motor speed control using PWM technology, with LED indication
Platform: | Size: 1024 | Author: 叶国欣 | Hits:

[SCMDC-motor-speed-control

Description: 单片机实现直流电机调速,利用定时器实现pwm控制,针对51单片机-MCU DC motor speed control using timer, pwm control, for 51 single-
Platform: | Size: 13312 | Author: 陶真 | Hits:

[SCMMotor-control-by-PWM-and-simulator

Description: 此文件包含PWM直流电机调速程序及protus仿真原理图,电机采用H桥电路驱动-This file contains PWM DC motor speed control procedures and protus simulation schematic, using the H-bridge motor drive circuit
Platform: | Size: 29696 | Author: 流程 | Hits:

[Software EngineeringDC-motor-speed-control-using-PWM-with-AVR

Description: this is good vedio of pulse width modulation
Platform: | Size: 1582080 | Author: fazal ahmad | Hits:

[SCMPWM

Description: PWM调速直流电机实验,使用PWM输出控制直流电机的转速,有程序,有接线图,在keil下运行,已经在51单片机开发板上通过。-PWM DC motor speed control experiments, using the PWM outputs to control DC motor speed, there are procedures, wiring diagrams, operating under keil, 51 MCU development board has been through.
Platform: | Size: 1215488 | Author: 毛毛 | Hits:
« 12 3 »

CodeBus www.codebus.net