Welcome![Sign In][Sign Up]
Location:
Search - cordic sin

Search list

[Other resourcecordic

Description: 用于实现sin,cos三角函数计数的VHDL程序代码-towards sin, cos trigonometry count VHDL code
Platform: | Size: 2541 | Author: 王森 | Hits:

[Windows Developxin(x)2

Description: 这个sin(x)比上次那个好,也是库函数的实现.-the sin (x) down from that good, but also the realization of library functions.
Platform: | Size: 97280 | Author: 罗本 | Hits:

[VHDL-FPGA-Verilogcordic

Description: 用于实现sin,cos三角函数计数的VHDL程序代码-towards sin, cos trigonometry count VHDL code
Platform: | Size: 2048 | Author: 王森 | Hits:

[Game Enginecordic_C_

Description: cordic C语言实现源代码,可计算sin cos。-cordic C language source code, translate sin cos.
Platform: | Size: 3072 | Author: | Hits:

[Othercordic

Description: 该程序主要完成了cordic算法的内部结构的实现,可以促成三角函数发生器
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Verilogcordic_3

Description: 流水线结构的cordic,可以输出sin/cos-Pipelined structure cordic, can output sin/cos
Platform: | Size: 1024 | Author: zq | Hits:

[VHDL-FPGA-Verilogsinfunction

Description: 用cordic算法实现超越函数,sin,cos用此方法也可以实现其他的sinhx,coshx,ex.代码用verilog编写-CORDIC algorithm with transcendental function, sin, cos by this method can also realize other sinhx, coshx, ex. Verilog code used to prepare
Platform: | Size: 236544 | Author: yu_leo | Hits:

[3G developccf

Description: 实现SIN、COS的语言,基于CORDIC的应用-The realization of SIN, COS language, based on the application of CORDIC
Platform: | Size: 2278400 | Author: 陈静波 | Hits:

[VHDL-FPGA-Verilogcustom_cordic

Description: verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set, and perfect TESTBENCH.
Platform: | Size: 119808 | Author: yangyu | Hits:

[Algorithmcordic

Description: cordic methods describe essentially the same algorithm that with suitably chosen inputs can be used to calculate a whole range of scientific functions including sin, cos, tan, arctan, arcsin, arccos, sinh, cosh, tanh, arctanh, log, exp, square root and even multiply and divide. the method dates back to volder [1959], and due to its versatility and compactness, it made possible the microcoding of the hp35 pocket scientific calculator in 1972. here is some code to illustrate the techniques. ive split the methods into three parts linear, circular and hyperbolic. in the hp35 microcode these would be unified into one function (for space reasons). because the linear mode can perform multiply and divide, you only need add/subtract and shift to complete the implementation. you can select in the code whether to do the multiples and divides also by cordic means. other multiplies and divides are all powers of 2 (these dont count). to eliminate these too, would involve ieee hackery.-cordic methods describe essentially the same algorithm that with suitably chosen inputs can be used to calculate a whole range of scientific functions including sin, cos, tan, arctan, arcsin, arccos, sinh, cosh, tanh, arctanh, log, exp, square root and even multiply and divide. the method dates back to volder [1959], and due to its versatility and compactness, it made possible the microcoding of the hp35 pocket scientific calculator in 1972. here is some code to illustrate the techniques. ive split the methods into three parts linear, circular and hyperbolic. in the hp35 microcode these would be unified into one function (for space reasons). because the linear mode can perform multiply and divide, you only need add/subtract and shift to complete the implementation. you can select in the code whether to do the multiples and divides also by cordic means. other multiplies and divides are all powers of 2 (these dont count). to eliminate these too, would involve ieee hackery.
Platform: | Size: 2048 | Author: waqas | Hits:

[VHDL-FPGA-VerilogCordicNCO

Description: 基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!-Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!
Platform: | Size: 4096 | Author: 咚咚 | Hits:

[matlabcordic_fullsin

Description: matlab implementation of CORDIC sin part
Platform: | Size: 10240 | Author: sunil | Hits:

[matlabcordic

Description: CORDIC sin cos m-file
Platform: | Size: 13312 | Author: fjwoemcu | Hits:

[matlabcordicsincos

Description: CORDIC sin cos algorithm 2
Platform: | Size: 17408 | Author: fjwoemcu | Hits:

[VHDL-FPGA-Verilogcordic

Description: 在QUARTUS环境下,通过Verilog实现cordic,产生sin,cos-In QUARTUS environment, through the Verilog implementation cordic, generate sin, cos
Platform: | Size: 1709056 | Author: 洪依 | Hits:

[SCMmult-div-cordic-sin-atn-ln-date-bresenham-etc-805

Description: Programs I wrote for 8051 processors, including : - Various multiplications (16x16, 32x8...) - div, atn, ln, sin, sqrt - bresenham for line and circle - 32bit cordic (linear, circular, hyperbolic) - date conversion - random generation - RS232 drivers - LCD (2x16) driver - etc. (squelett.a51 is an exemple of main programm)
Platform: | Size: 79872 | Author: Jean-Louis VERN | Hits:

[VHDL-FPGA-Verilogcordic

Description: 生成正余弦函数,根据cordic算法可以生成sin和cos(Generating sin or cos function)
Platform: | Size: 11760640 | Author: ixupeng | Hits:

[matlabCORDIC算法及例程sincos

Description: matlab实现cordic算法求解sin、cos例程(cordic algorithm about sin&cos achieved by matlab)
Platform: | Size: 1024 | Author: 老殷比 | Hits:

[Algorithmcordic

Description: cordic,matlab,sin,cos,atan,sqrt
Platform: | Size: 5120 | Author: 老殷比 | Hits:

[Special EffectsCORDIC算法MATLAB代码

Description: 坐标旋转数字计算机算法利用简单的移位和加法实现sin,cos,tan,arctan等函数的计算,适合计算机处理,速度快。(The algorithm of coordinate rotation digital computer realizes the computation of functions such as sin, cos, tan and arctan by simple shift and addition, which is suitable for computer processing and fast speed.)
Platform: | Size: 1024 | Author: lcr1995 | Hits:
« 12 3 »

CodeBus www.codebus.net