Welcome![Sign In][Sign Up]
Location:
Search - convolutional encoder in vhdl

Search list

[VHDL-FPGA-VerilogConvolutionalencoder

Description: 应用VHDL语言实现的卷积编码器的应用程序-Application of VHDL language implementation of the convolutional encoder applications
Platform: | Size: 7168 | Author: zxy | Hits:

[VHDL-FPGA-Verilogviterbi

Description: viterbi decoder with convolutional encoder
Platform: | Size: 1389568 | Author: phani | Hits:

[Otherviterbi213

Description: 编码方式为213的Viterbi卷积码编码器和译码器的FPGA的实现,包含整个QuartusII的工程文件,解码方式为寄存器交换法-Encoding for the 213 convolutional code encoder and Viterbi decoder FPGA realization of the project file that contains the entire QuartusII, decoding method for the register exchange
Platform: | Size: 2668544 | Author: jenny | Hits:

[Communication-Mobileconvencode2

Description: 卷积码(2,1,3)编码过程。代码清晰简单,对应人民邮电版《通信原理》中卷积码编码过程-Convolutional code (2,1,3) encoder. Code is clear and straightforward, Telecommunications for the corresponding version of " Communication Principle" in the process of convolutional coding
Platform: | Size: 118784 | Author: zhaodanlin | Hits:

[VHDL-FPGA-Verilog123

Description: 将通过仿真的VHDL 程序下载到FPGA 芯片EPF10K10LC84-3 上,取得了较为满意的结果。本设计选择的(3,1,2)卷积码和(2,1,1)卷积码,都是极具代表性的卷积码。因为卷积码具有相似的结构和特点,所以(3,1,2)卷积编码器和(2,1,1)卷积解码器的设计思想,具有普遍适用性。-Through the simulation of the VHDL program downloaded to the FPGA chip EPF10K10LC84-3, the obtained satisfactory results. The design choices (3,1,2) convolutional code and (2,1,1) convolutional code, are highly representative of convolutional codes. For convolutional codes with similar structure and characteristics, so (3,1,2) convolutional encoder and (2,1,1) convolutional decoder design has general applicability.
Platform: | Size: 5120 | Author: 王彬 | Hits:

[VHDL-FPGA-VerilogFPGA-convolutions-encoder

Description: 卷积码是数字通信中很重要的一种差错控制编码 具有很好的性能,用硬件的形式描述具有速度快,便于修改的优点,通过该种方法设,计的编码器经测试运行可靠正确。-Convolutional codes are very important in digital communication error control coding with a good performance, with the description of the hardware in the form of a fast, easy to modify the benefits set by the methods, namely, the encoder has been tested and reliable operation correctly .
Platform: | Size: 4096 | Author: will li | Hits:

[Modem programconvolutional-encoder

Description: In this case is a convolutional encoding code for decoding the convolutional code, using VHDL language. This code provide the method of convolutional encoding for input data. (2,1,7)
Platform: | Size: 1024 | Author: kimdaeyoung | Hits:

[Program docConvolutional-encoder-VHDL-code-_-VHDL-Programmin

Description: convolutional encoder in vhdl
Platform: | Size: 334848 | Author: sampath | Hits:

CodeBus www.codebus.net