Welcome![Sign In][Sign Up]
Location:
Search - cic filter

Search list

[AlgorithmLabVIEW CIC Filter for 1bit PDM

Description: LabVIEW編寫之多階 Cascaded Integrator Comb Decimation Filter, 用於解調1bit PDM 數字資料流, 還原成時域波形, 程式一併產生頻域波形及SNR, THD分析. 1bit PDM 數字流常由Delta-Sigma ADC產生, MEMS數字麥克風亦輸出此格式數字流. 程式版本: LabVIEW 2009 with S&V module, Digital Filter toolkit.
Platform: | Size: 587134 | Author: kbxkbx | Hits:

[VHDL-FPGA-VerilogCIC

Description: 介绍了积分梳状滤波器(CIC)设计,压缩包里面有程序的流程图,采用verilogHDL编写,在modelsim上可以实现仿真结果,非常不错-Introduced the integral comb filter (CIC) design, there are procedures for compressed packets flow chart, using verilogHDL prepared on the ModelSim simulation results can be achieved very good
Platform: | Size: 153600 | Author: yaoyongshi | Hits:

[Othercic

Description: hogenauer cic滤波器的算法的研究及其与在fpga中的实现,简明易懂。-hogenauer cic filter algorithm and its relationship to the FPGA in the realization of easy to understand.
Platform: | Size: 257024 | Author: 岑楠 | Hits:

[VHDL-FPGA-Verilogcic

Description: verilog码写的CIC滤波器的程序,包括4倍抽取CIC滤波器和内插的CIC滤波器两个-Verilog code written by CIC filter procedures, including 4 times the extraction CIC filter and the CIC interpolation filter two
Platform: | Size: 22528 | Author: 桃子 | Hits:

[Embeded-SCM DevelopCIC

Description: 本文讲解CIC数字滤波器的设计,对设计者有很大的帮助-This article on the CIC digital filter design, for designers of great help
Platform: | Size: 129024 | Author: asdtgg | Hits:

[Speech/Voice recognition/combinework

Description: 一种简单的cic filter matlab实现方法-A simple way to realize cic filter matlab
Platform: | Size: 10240 | Author: lvxiaobing | Hits:

[OtherCICFilterDesignandAnalysisinMultipleSampling

Description: 首先介绍了内插理论和CIC 滤波器原理,重点给出了CIC 滤波器设计方法,并分析了CIC 滤波器级联级数 和滤波器阶数的选取对通带衰减和旁瓣抑制的影响,仿真结果验证了设计方法的有效性和可行性。-First introduced the theory and CIC interpolation filter, the focus is given CIC filter design methods, and analyzes the CIC filter and filter cascading series of the selected order of the pass-band attenuation and the effects of sidelobe suppression, The simulation results show the design method is effective and feasible.
Platform: | Size: 203776 | Author: 会飞的鱼 | Hits:

[Communication-MobileCIC

Description: CIC梳妆滤波器生成器,生成任意位数任意长度的CIC滤波器源代码-Dressing CIC filter generator to generate any arbitrary length of the median of the CIC filter source code
Platform: | Size: 134144 | Author: lizhizhou | Hits:

[matlabcic_filter

Description: 关于软件无线电中CIC滤波器的实现与仿真-On Software Radio CIC Filter Implementation and Simulation
Platform: | Size: 2048 | Author: 林恩 | Hits:

[Software EngineeringCIC-cosinefilter

Description: CIC滤波器和COSine滤波器级联,改进了CIC的矛盾。英文文章-CIC filter and filter cascade CoSine improved CIC contradiction. English articles
Platform: | Size: 217088 | Author: 中山太乙 | Hits:

[source in ebookcic512

Description: 5阶cic滤波器,抽取12倍,的verilog程序,已经通过仿真验证,一、具有很高的速率-5-order CIC filter, collected 12 times the Verilog procedures are by simulation, one with a very high rate
Platform: | Size: 1024 | Author: xiebin | Hits:

[matlabcic_M

Description: CIC filter setup, this is special used for the DDC
Platform: | Size: 7168 | Author: 朱天翔 | Hits:

[Communication-MobileCIC_FILTER

Description: 详细介绍了在软件无线电中广泛采用的变速率滤波器-CIC滤波器的原理及实现方法-Described in detail in the software radio is widely used in variable-rate filter-CIC filter and the implementation of the principle
Platform: | Size: 143360 | Author: yeping | Hits:

[VHDL-FPGA-VerilogFPGArealiztionofdigitalsignalprocessing

Description: 数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHDL代码的程序 cic.exe ---CIC滤波器计算参数的程序 -Digital Signal Processing FPGA realization of practical procedures and documents, there are sine.exe--- input width. Sine wave output of the corresponding csd.exe--- Table mif file to find the integer and fractional number of the volume of standard symbols (canonical signed digit, CSD) Expression Programming fpinv.exe--- countdown procedures for calculation of floating-point form dagen.exe--- documents distributed algorithm to generate HDL " onclick =" tagshow (event) " class =" t_tag " > VHDL program code cic.exe--- CIC filter process parameters
Platform: | Size: 260096 | Author: kevin | Hits:

[matlabcic

Description: 当前工程上广泛采用了一种高效滤波器,即CIC(cascaded integrator-comb filter)将其作为第一级来实现抽取、低通滤波。第二级再用一个普通的FIR滤波器就实现使后端设备工作在较低的频率下且硬件花销少、功耗也很低。-Widely used in the current project, a highly efficient filter, that is, CIC (cascaded integrator-comb filter) as the first level to achieve the extraction, low-pass filter. An ordinary second-class and then the realization of the FIR filter so that the work of the back-end equipment at a lower frequency and spending less hardware, power consumption is very low.
Platform: | Size: 63488 | Author: 王奎 | Hits:

[OthercicDecimate

Description: CIC filter decimator for Matlab
Platform: | Size: 2048 | Author: Harry Li | Hits:

[VHDL-FPGA-VerilogCIC

Description: 五阶CIC滤波器,用于降低数据传输速率。数字下变频技术不仅是软件无线电核心技术之一,还是中频数字化接收系统重要组成部分。数字下变频技术中广泛用到级联积分梳状滤波器(CIC滤波器)-CIC filter
Platform: | Size: 1430528 | Author: 姚琼琼 | Hits:

[VHDL-FPGA-Verilogcic

Description: 一个很好的CIC滤波程序!可以直接使用!-CIC filter a very good program!
Platform: | Size: 31114240 | Author: 卿华 | Hits:

[matlabCIC-Filter

Description: 梳妆滤波器的毕业论文和VC实现代码,有很强的参考价值-CIC Filter thesis and VC implementation code, there is a strong reference value
Platform: | Size: 518144 | Author: gaocheng | Hits:

[VHDL-FPGA-VerilogCIC-filter-master

Description: Code Verilog CIC Filter FPGA
Platform: | Size: 646144 | Author: MrGio | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net