Welcome![Sign In][Sign Up]
Location:
Search - bcd to binary conversion

Search list

[Other resourceVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 42884 | Author: kerty | Hits:

[assembly languageshuanzijieerjinzhizhuanhuan

Description: 双字节二进制数转换为十进制数子程序------- ;--------功能:双字节二进制数转换成五位BCD码-double byte binary number to decimal number subroutine ------- ;-------- functions : double-byte binary conversion into five BCD
Platform: | Size: 883 | Author: jia | Hits:

[assembly languageBCD

Description: 生成BCD码。 Name: BIN3toBCD4 Func:2字节二进制整数--->>BCD码四字节转换(Comped BCD) Input: 3进制数人低字节到高字节存放在内部RAM50H,51H,52h单元中 Output: BCD码人低位到高位分别存放在内部RAM53H,54H,55H,56H单元中 USE: R7-R0, 56H-50H-generation BCD. Name : BIN3toBCD4 Func : 2-byte binary integers -- gt; Gt; BCD four byte conversion (Comped BCD) Input : 3 band several low byte to high-byte stored in the internal RAM50H, 51H, chick modules Output : BCD who were low to high deposit on internal RAM53H, 54H, 55H, Social modules USE : R7-R0, Social-50H
Platform: | Size: 988 | Author: 高业恒 | Hits:

[assembly languageBCD

Description: 生成BCD码。 Name: BIN3toBCD4 Func:2字节二进制整数--->>BCD码四字节转换(Comped BCD) Input: 3进制数人低字节到高字节存放在内部RAM50H,51H,52h单元中 Output: BCD码人低位到高位分别存放在内部RAM53H,54H,55H,56H单元中 USE: R7-R0, 56H-50H-generation BCD. Name : BIN3toBCD4 Func : 2-byte binary integers-- gt; Gt; BCD four byte conversion (Comped BCD) Input : 3 band several low byte to high-byte stored in the internal RAM50H, 51H, chick modules Output : BCD who were low to high deposit on internal RAM53H, 54H, 55H, Social modules USE : R7-R0, Social-50H
Platform: | Size: 1024 | Author: | Hits:

[Algorithmbin2bcd

Description: binary to bcd conversion
Platform: | Size: 2048 | Author: 娙暥寙 | Hits:

[SCMVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 43008 | Author: kerty | Hits:

[Algorithmfdzh

Description: 浮点转换器,可以对十进制定点数、浮点BCD码和二进制浮点操作数之间进行相互转换。-float converters, and can set the decimal points. BCD floating-point and binary floating-point operation between several mutual conversion.
Platform: | Size: 190464 | Author: 冯明春 | Hits:

[Documentsbcd2bin

Description: BCD码和二进制码转换的硬件实现.pdf-BCD code and binary code conversion hardware. Pdf
Platform: | Size: 140288 | Author: 张三 | Hits:

[assembly languageshuanzijieerjinzhizhuanhuan

Description: 双字节二进制数转换为十进制数子程序------- ;--------功能:双字节二进制数转换成五位BCD码-double byte binary number to decimal number subroutine------- ;-------- functions : double-byte binary conversion into five BCD
Platform: | Size: 1024 | Author: jia | Hits:

[assembly languagecode_transition

Description: 此文件包括各种编码转换程序,比如:二进制到BCD 码转换、二进制到ASCII码转换-This document includes a variety of encoding conversion process, such as: binary to BCD code conversion, binary to ASCII code conversion
Platform: | Size: 556032 | Author: Shaban | Hits:

[assembly languageupload

Description: 汇编程序中的数制转换与DOS调用功能的结合,还有一个表处理程序,以下是程序实现的功能: 1.从键盘输入一个十进制数,转换为二进制显示在屏幕上; 2.输入十位BCD码,转换为4字节十六进制显示; 3.从键盘输入两个十进制数,相加后将结果显示在屏幕上; 4.从键盘键入一个4位十六进制数,转换为十进制显示在屏幕上; 5.对一个已知长度的从小到大的无符号字节数组查找关键字;用二分法实现-Compilation process several conversion and DOS system calls the combination of features, as well as treatment procedures for a table, the following is the procedure to achieve the functions of: 1. From the keyboard input of a decimal number converted to binary display on the screen 2. The importation of 10 BCD code, converted to 4-byte hexadecimal display 3. from the keyboard input of two decimal number, add up the results will be displayed on the screen 4. from the keyboard type a hexadecimal number 4, is converted to Decimal display on the screen 5. of a known length from small to large unsigned byte array to find keywords realize with the dichotomy
Platform: | Size: 3072 | Author: qcx | Hits:

[MPIadd_16_bcd

Description: 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器-This procedure using VHDL language, completed in 16-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 16 binary adder
Platform: | Size: 1024 | Author: 韩善华 | Hits:

[VHDL-FPGA-Verilogadd_32_bcd

Description: 此程序采用VHDL语言,完成在32位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的32位二进制加法器-This procedure using VHDL language, completed in 32-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 32 binary adder
Platform: | Size: 1024 | Author: 韩善华 | Hits:

[OtherTrafficlight

Description: 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code countdown counter (count pulse 1HZ), used to record the duration of each state because the duration of each state are inconsistent, so these counters should be placed in several different presets countdown of numerical output to two digital display procedures were set up four processes: ① process P1, P2 and P3 form two functions with a preset number of decimal counters, of which P1 and P3, respectively, for months, and 10-bit counters, P2 to generate a 10-bit The binary signal ② P4 is the status register, control the state of the conversion, and six output control signals of traffic lights.
Platform: | Size: 1024 | Author: kid | Hits:

[SCMBCD

Description: 将BCD码的转换为四位二进制,实现是一个十线输入,四线输出的转换功能-BCD code will be converted to four binary to achieve is a 10 line inputs, four-lane conversion output
Platform: | Size: 123904 | Author: sunrier | Hits:

[SCMDataCode

Description: 数据代码转换,包括二进制到BCD码的转换,BCD码到二进制的转换,等等。-Data code conversion, including the binary to BCD Code Conversion, BCD code to binary conversion, and so on.
Platform: | Size: 2048 | Author: 余希 | Hits:

[assembly language5.BCD2BIN

Description: bcd to binary conversion using 80-bcd to binary conversion using 8088
Platform: | Size: 80896 | Author: devakumari | Hits:

[Otherbinary-to-bcd

Description: 二进制 转换成十进制 BCD码(加3移位法 底下还附带了BCD码转二进制码转化的VHDL程序 -Convert binary to decimal BCD code (plus 3 shift method also comes under the BCD to binary code conversion of the VHDL program
Platform: | Size: 11264 | Author: 王宝 | Hits:

[matlabBCD

Description: decimal to binary conversion
Platform: | Size: 5120 | Author: sarwar | Hits:

[Otherbcd

Description: 可以实现任意二进制码到BCD码的转换电路(Can achieve any binary code to BCD code conversion circuit)
Platform: | Size: 1024 | Author: lingtian | Hits:
« 12 3 »

CodeBus www.codebus.net