Welcome![Sign In][Sign Up]
Location:
Search - bcd to binary

Search list

[Other resourcebcd_conv

Description: convert.asm: 1.From ASCII resp. BCD to binary 2.From binary to ASCII resp. BCD 3.From binary to Hex-ASCII Bin_Bcd.c: uchar BcdToBin(uchar val) uchar BinToBcd(uchar val) -convert.asm : 1.From ASCII resp. BCD 2.From binary to binary t o ASCII resp. BCD 3.From binary to Hex-ASCII Bin _Bcd.c : uchar BcdToBin (uchar val) uchar BinToBcd (uch ar val)
Platform: | Size: 4901 | Author: jack | Hits:

[Other resourcebinary2bcd

Description: This build is for developing a \"binary-to-BCD\" converter for use in // displaying numerals in base-10 so that people can read and interpret the // numbers more readily than they could if the numbers were displayed in // binary or hexadecimal format. Also, a \"BCD-to-binary\" converter is // tested in this build.-This build is for developing a "binary-to - BCD "converter for use in / / displaying numeral 's in base-10 so that people can read and interpre not the / / numbers more readily than they could if t he numbers were displayed in / / binary or hexade cimal format. Also, a "BCD - to-binary" converter is / / tested in stories 's build.
Platform: | Size: 42453 | Author: 陈朋 | Hits:

[assembly languageBCD

Description: 生成BCD码。 Name: BIN3toBCD4 Func:2字节二进制整数--->>BCD码四字节转换(Comped BCD) Input: 3进制数人低字节到高字节存放在内部RAM50H,51H,52h单元中 Output: BCD码人低位到高位分别存放在内部RAM53H,54H,55H,56H单元中 USE: R7-R0, 56H-50H-generation BCD. Name : BIN3toBCD4 Func : 2-byte binary integers-- gt; Gt; BCD four byte conversion (Comped BCD) Input : 3 band several low byte to high-byte stored in the internal RAM50H, 51H, chick modules Output : BCD who were low to high deposit on internal RAM53H, 54H, 55H, Social modules USE : R7-R0, Social-50H
Platform: | Size: 1024 | Author: | Hits:

[SCMbcd_conv

Description: convert.asm: 1.From ASCII resp. BCD to binary 2.From binary to ASCII resp. BCD 3.From binary to Hex-ASCII Bin_Bcd.c: uchar BcdToBin(uchar val) uchar BinToBcd(uchar val) -convert.asm : 1.From ASCII resp. BCD 2.From binary to binary t o ASCII resp. BCD 3.From binary to Hex-ASCII Bin _Bcd.c : uchar BcdToBin (uchar val) uchar BinToBcd (uch ar val)
Platform: | Size: 5120 | Author: jack | Hits:

[Static controlclock2001

Description: 时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
Platform: | Size: 1024 | Author: dandan | Hits:

[VHDL-FPGA-Verilogbinary2bcd

Description: This build is for developing a "binary-to-BCD" converter for use in // displaying numerals in base-10 so that people can read and interpret the // numbers more readily than they could if the numbers were displayed in // binary or hexadecimal format. Also, a "BCD-to-binary" converter is // tested in this build.-This build is for developing a "binary-to- BCD "converter for use in// displaying numeral 's in base-10 so that people can read and interpre not the// numbers more readily than they could if t he numbers were displayed in// binary or hexade cimal format. Also, a "BCD- to-binary" converter is// tested in stories 's build.
Platform: | Size: 41984 | Author: 陈朋 | Hits:

[Documentsbcd2bin

Description: BCD码和二进制码转换的硬件实现.pdf-BCD code and binary code conversion hardware. Pdf
Platform: | Size: 140288 | Author: 张三 | Hits:

[SCMBCD

Description: 用单片机实现二进制数转换成十进制数(BCD码)程序-with MCU converted into binary-decimal (BCD) process
Platform: | Size: 2048 | Author: 李敏 | Hits:

[MPIadd_16_bcd

Description: 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器-This procedure using VHDL language, completed in 16-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 16 binary adder
Platform: | Size: 1024 | Author: 韩善华 | Hits:

[VHDL-FPGA-Verilogadd_32_bcd

Description: 此程序采用VHDL语言,完成在32位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的32位二进制加法器-This procedure using VHDL language, completed in 32-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 32 binary adder
Platform: | Size: 1024 | Author: 韩善华 | Hits:

[Otherbinarytobcd

Description: 实现二进制到BCD的转换,相关算法可参考相关文档资料-convert binary number to BCD
Platform: | Size: 3072 | Author: CoCo | Hits:

[SCMDataCode

Description: 数据代码转换,包括二进制到BCD码的转换,BCD码到二进制的转换,等等。-Data code conversion, including the binary to BCD Code Conversion, BCD code to binary conversion, and so on.
Platform: | Size: 2048 | Author: 余希 | Hits:

[AlgorithmBCD2BIN8

Description: BCD转BIN算法,BCD码转二进制数据。-BCD to BIN algorithm, BCD code to binary data.
Platform: | Size: 1024 | Author: zjh | Hits:

[VHDL-FPGA-Verilogbin2bcd

Description: Binary to BCD converter
Platform: | Size: 1024 | Author: Natacho | Hits:

[VHDL-FPGA-VerilogBinary_to_BCD_Converter

Description: General Binary-to-BCD Converter The linked code is a general binary-to-BCD Verilog module, and I have personally tested the code.
Platform: | Size: 25600 | Author: volkan | Hits:

[VHDL-FPGA-VerilogHEX2BCD16

Description: 基于vhdl的二进制转BCD码的设计,已经经过调试,可直接使用-Vhdl based on binary code to BCD design, has been testing can be used directly
Platform: | Size: 1024 | Author: 郭帅 | Hits:

[VHDL-FPGA-VerilogBCD

Description: 基于VHDL语言,实现二进制转换为BCD码。-Based on the VHDL language, to achieve a binary code is converted to BCD.
Platform: | Size: 3072 | Author: xiaokun | Hits:

[VHDL-FPGA-Verilogbcd_to_binary

Description: bcd to binary verilog
Platform: | Size: 4096 | Author: hyuma | Hits:

[VHDL-FPGA-Verilog2-Decimal-BCD-Decoder

Description: 二-十进制BCD译码器,就是用VDHL编写的将二进制转化为十进制的BCD译码器-2- Decimal BCD Decoder, is to use VDHL written into the binary decimal BCD decoder
Platform: | Size: 1024 | Author: 易云箫 | Hits:

[Otherbinary-to-bcd

Description: 二进制 转换成十进制 BCD码(加3移位法 底下还附带了BCD码转二进制码转化的VHDL程序 -Convert binary to decimal BCD code (plus 3 shift method also comes under the BCD to binary code conversion of the VHDL program
Platform: | Size: 11264 | Author: 王宝 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net