Welcome![Sign In][Sign Up]
Location:
Search - Verilog PCM

Search list

[Other resourcepcm_verilog

Description: 这是PCM电话传输系统模型的verilog程序,是一个modlesim开发环境下的工程文件,并有波形仿真结果.-PCM telephone transmission system Verilog model of procedures is a modlesim development environment under the project documents, and a waveform simulation results.
Platform: | Size: 47092 | Author: way | Hits:

[Other resourcescu_all_fpga

Description: 大型嵌入式设备FPGA程序,verilog HDL语言,实现DLL和PCM码流分流。-large embedded FPGA procedures, Verilog HDL, DLL and achieve PCM stream diversion.
Platform: | Size: 3184 | Author: chenlei | Hits:

[Other resourcess_pcm.tar

Description: pcm 接口的源代码,有参考价值~verilog语言编写
Platform: | Size: 3939 | Author: 张丰 | Hits:

[Multimedia programline_alaw

Description: 线性PCM到A律pcm的Verilog编码源程序
Platform: | Size: 1031 | Author: 李果霖 | Hits:

[VHDL-FPGA-Verilogpcm

Description: 该程序设计了一个产生PCM码流时序信号的模块,他包括输入端CLK,SET及输出端Q1,Q2,Q3-the program have designed a PCM signal timing modules, including the CLK input, and output SET Q1, Q2 and Q3
Platform: | Size: 8192 | Author: 许嘉璐 | Hits:

[VHDL-FPGA-Verilogpcm_verilog

Description: 这是PCM电话传输系统模型的verilog程序,是一个modlesim开发环境下的工程文件,并有波形仿真结果.-PCM telephone transmission system Verilog model of procedures is a modlesim development environment under the project documents, and a waveform simulation results.
Platform: | Size: 47104 | Author: way | Hits:

[VHDL-FPGA-Verilogscu_all_fpga

Description: 大型嵌入式设备FPGA程序,verilog HDL语言,实现DLL和PCM码流分流。-large embedded FPGA procedures, Verilog HDL, DLL and achieve PCM stream diversion.
Platform: | Size: 3072 | Author: chenlei | Hits:

[Compress-Decompress algrithmsjpeg_yuce

Description: 预测编码dpcm 的verilog代码,此文件为V文件-predictive coding dpcm verilog code of this file documents for V
Platform: | Size: 1024 | Author: 万明 | Hits:

[VHDL-FPGA-Verilogss_pcm.tar

Description: pcm 接口的源代码,有参考价值~verilog语言编写-pcm interface source code, have reference value ~ verilog language
Platform: | Size: 4096 | Author: 张丰 | Hits:

[Multimedia programline_alaw

Description: 线性PCM到A律pcm的Verilog编码源程序-Linear PCM to the Verilog code pcm A law source
Platform: | Size: 1024 | Author: 李果霖 | Hits:

[Communication-MobilePCMverilog

Description: 实现了数字通信系统中PCM编码,用Verilog硬件描述语言编程在FPGA上实现的。-Achieved in the PCM coded digital communication system, using Verilog hardware description language programming implemented on the FPGA.
Platform: | Size: 4096 | Author: 李欣 | Hits:

[VHDL-FPGA-VerilogPCM30_Frame_Sync

Description: 本程序实现了PCM30的帧同步和失步检测,采用verilog编程,包含了工程文件。-This procedure achieved PCM30 frame synchronization and detection step, using verilog programming, includes the project file.
Platform: | Size: 45056 | Author: chenjian | Hits:

[VHDL-FPGA-Verilogpcm_slv_top

Description: 实现了verilog语言的pcm编码功能-verilog pcm module
Platform: | Size: 1024 | Author: 张只是 | Hits:

[VHDL-FPGA-Verilogsyn_detc

Description: Verilog语言的同步帧检测模块,适用于pcm通信系统,本模块可检测的同步帧为100110-The synchronization frame detection module implemented use Verilog language,for pcm communication system, the module can detect synchronization frame for 10,011,011
Platform: | Size: 1024 | Author: LEE | Hits:

[VHDL-FPGA-Verilogverilog

Description: 用verilog语言实现PCM模块功能(pcm发音)-PCM module function (pcm pronunciation) with verilog language
Platform: | Size: 1788928 | Author: 张喆 | Hits:

[Otherpcm

Description: verilog 的代码,是pcm采编器,经过验证的,可以用,并且附带上testbench文件。-The verilog code pcm editorial, proven, you can use, and comes on the testbench file.
Platform: | Size: 1024 | Author: 张伟 | Hits:

[VHDL-FPGA-VerilogPCM

Description: verilog的pcm实现,程序书写规范,值得学习。(The PCM implementation of Verilog, the specification of program writing, is worth learning.)
Platform: | Size: 5366784 | Author: wanna丶 | Hits:

[Otherverilog_Mux_demux

Description: Verilog PCM MuxDemux example
Platform: | Size: 3072 | Author: smn1380 | Hits:

[Linux-Unixpcm.tar

Description: 在FPGA开发板上实现通信中PCM30/32系统的时分复用,编码,解码,串并行转换,以及同步识别(On the FPGA development board, we complete time division multiplexing, encoding, decoding, serial parallel conversion and synchronization identification of PCM30/32 system in communication.)
Platform: | Size: 724992 | Author: 莱恩哈特01 | Hits:

[VHDL-FPGA-VerilogPDM2PCM.srcs

Description: use verilog to trans PDM to PCM signal,use vivado
Platform: | Size: 7237632 | Author: hcccc1117 | Hits:
« 12 »

CodeBus www.codebus.net