Welcome![Sign In][Sign Up]
Location:
Search - VHDL sata

Search list

[VHDL-FPGA-Verilogata.tar

Description: 使用verilog和VHDL两种硬件描述语言实现了一个ATA硬盘控制器,包括源代码、测试仿真文件和说明文档-The use of two types of Verilog and VHDL hardware description language to achieve an ATA hard drive controller, including source code, testing, simulation files and documentation
Platform: | Size: 835584 | Author: qinlei | Hits:

[Otherspi

Description: spi接口的vhdl实现,所用器件和ip为xilinx的-spi interface VHDL realize, by ip for Xilinx devices and the
Platform: | Size: 3112960 | Author: 杨子树 | Hits:

[Otherjmf602

Description: SATA接口SSD控制器介绍以及支持NAND FLASH列表,目前比较流行的低成本SSD方案.-SATA interface SSD controller and support for NAND FLASH, introduced a list of currently popular low-cost SSD program.
Platform: | Size: 247808 | Author: gxliu | Hits:

[VHDL-FPGA-Verilogxilnx_sata

Description: xilinx 的sata解决方案,已对其中内容作了修改,可实现综合-sata the xilinx solutions have been made to amend the contents of which can be used
Platform: | Size: 65536 | Author: 张峰 | Hits:

[ActiveX/DCOM/ATLe07131r3-SATA-IO_Commands_for_ATA-8

Description: ata8 data sheet ata 8 data sheet-ata8 data sheet ata8 data sheet ata8 data sheet
Platform: | Size: 126976 | Author: robby | Hits:

[VHDL-FPGA-Verilogaips7108.tar

Description: SATA 仿真模型 SATA 仿真模型-Simulation Model SATA SATA SATA simulation model simulation model
Platform: | Size: 17650688 | Author: 罗宇平 | Hits:

[VHDL-FPGA-VerilogSATA

Description: sata标准很好的资料,以及介绍其当前的应用还有使用的注意事项-excellent information and descripiton of SATA protocol
Platform: | Size: 380928 | Author: lvz | Hits:

[VHDL-FPGA-VerilogSATA_Verification_IP-SystemVerilog

Description: SATA Verification IP - SystemVerilog,是使用FPGA做的sata接口部分,是一篇文档-SATA Verification IP- SystemVerilog, is to use FPGA to do sata interface part, is a document
Platform: | Size: 403456 | Author: | Hits:

[VHDL-FPGA-VerilogFPGA-SATA

Description: 使用FPGA实现SATA协议接口,思路清晰。适用性强,是不可多得的资料。文档为硕士论文。-FPGA implementation agreement with SATA interface, clear thinking. Applicability, is the rare information. Document for the master' s thesis.
Platform: | Size: 1512448 | Author: ERICQ | Hits:

[Othersata_controller_core_latest.tar

Description: SATA控制器源码,VHDL编写-source code of SATA controller
Platform: | Size: 398336 | Author: tanfan | Hits:

[VHDL-FPGA-Verilogoob_control

Description: sata协议物理层的OOB带外信号控制实现的VHDL代码-the sata protocol physical layer OOB band signal control VHDL code
Platform: | Size: 2048 | Author: pearson | Hits:

[VHDL-FPGA-Verilogsata_controller_core_latest.tar

Description: VHDL for SATA 2.0, used for FPGA
Platform: | Size: 399360 | Author: abc4329803 | Hits:

CodeBus www.codebus.net