Welcome![Sign In][Sign Up]
Location:
Search - VHDL for BPSK

Search list

[Communication-Mobileps110

Description: bpsk信号调制,用于产生一种雷达信号。-BPSK signal modulation, used to generate a radar signal.
Platform: | Size: 1024 | Author: wang | Hits:

[Goverment applicationBPSK_b

Description: System generator code for BPSK implementation. Pls enjoy it
Platform: | Size: 10240 | Author: phuc | Hits:

[VHDL-FPGA-Verilogbpsk

Description: 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
Platform: | Size: 432128 | Author: 李博 | Hits:

[VHDL-FPGA-Verilogofdmbaseband

Description: the OFDM PHY is adaptive therefore it supports multiple schemes BPSK, QPSK, 16-QAM and 64-QAM for data carriers’ modulation. The constellation diagrams are gray mapped and shows the magnitudes I and Q (In-phase and Quadrature) components of each incoming bit(s) combination along with their normalization factor C to calculate magnitude of each model
Platform: | Size: 1497088 | Author: san | Hits:

[VHDL-FPGA-Verilogbpsk_spread_spectrum_modulator_demodulator

Description: code for bpsk spread spectrum modulator used in cdma -code for bpsk spread spectrum modulator used in cdma ..
Platform: | Size: 8192 | Author: ANIL | Hits:

[VHDL-FPGA-Verilogbpskmodulator

Description: the program is for bpsk modulation using vhdl
Platform: | Size: 1024 | Author: jeevan | Hits:

[Editorvhdl-codes

Description: vhdl code for sinusoidal chip to chip digital modulation - ask, bpsk, dpsk, qpsk etc
Platform: | Size: 15360 | Author: sai | Hits:

CodeBus www.codebus.net