Welcome![Sign In][Sign Up]
Location:
Search - VHDL CODE FOR PWM

Search list

[VHDL-FPGA-VerilogSource

Description: PWM的Verilog HDL代码用于FPGA-PWM of the Verilog HDL code for FPGA
Platform: | Size: 2048 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-VerilogPWM_CT

Description: PWM调制输出、定时和计数控制器的芯片设计-PWM modulation output, timing and count controller chip design
Platform: | Size: 5120 | Author: 李利歌 | Hits:

[VHDL-FPGA-Verilog76_PID

Description: 一个非常好的电机转速控制器VHDL源代码设计-A very good motor speed controller VHDL design source code
Platform: | Size: 2048 | Author: linew | Hits:

[VHDL-FPGA-VerilogPWM

Description:
Platform: | Size: 433152 | Author: 黄朝谦 | Hits:

[VHDL-FPGA-VerilogSVPWM

Description: 这是一个对电机进行SVPWM调速控制的VHDL源代码程序,包括了rtl主程序和测试sim仿真程序-This is a motor SVPWM Speed VHDL source code control procedures, including the main program and test rtl simulation program sim
Platform: | Size: 13312 | Author: 杨国超 | Hits:

[VHDL-FPGA-VerilogFPGA_PWM

Description: 用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!-Using Verilog languages FPGA control PWM procedures. Using pulse code disk for governor, conducted a simple test that can be used. Not after a long-term verification. To do a simple modification to the application!
Platform: | Size: 1024 | Author: 温海龙 | Hits:

[VHDL-FPGA-Verilogtest4

Description: 用 vhdl 语言实现的 32个 条目的 ARP-using vhdl language to realize ARP protocol with 32 entries
Platform: | Size: 1024 | Author: zhouli | Hits:

[VHDL-FPGA-Verilogpwm_timer

Description: PWM和Timer的FPGA实现,文档代码齐全。-PWM and Timer for FPGA implementation, documentation, code complete.
Platform: | Size: 271360 | Author: kele | Hits:

[VHDL-FPGA-VerilogPWM

Description: PWM Source Code in VHDL For FPGA Devices
Platform: | Size: 484352 | Author: saber | Hits:

[VHDL-FPGA-Verilogsamlecode.vhdl

Description: THis code describes how to use the pwm singal generator and how to generate this using VHDL>
Platform: | Size: 17408 | Author: Jas | Hits:

[VHDL-FPGA-Verilogpwm

Description: 适合初学者对PWM调制的学习,解释比较明确,由于来元于核心程序,功能强大-Enables the keyboard scan code in Verilog source code, clear for beginners Comments
Platform: | Size: 2048 | Author: 上关蓝乡 | Hits:

[VHDL-FPGA-VerilogPWM-waves-generated-module-VHDL-code

Description: 此为基于FPGA的直流伺服系统的设计,具体为PWM波生成模块的VHDL代码-This is the dc servo system based on FPGA design, specific for PWM waves generated module VHDL code
Platform: | Size: 9216 | Author: 黄平 | Hits:

[VHDL-FPGA-Verilogex3

Description: FPGA控制的电机驱动VHDL代码,可实现正转,反转,启动,停止。并可以实现PWM调速。代码中预留了控制接口,可方便完成上述功能的实现。- The code is for driver based on FPGA. It can realize the function of start, stop, speed adjust.
Platform: | Size: 400384 | Author: zhuang | Hits:

[VHDL-FPGA-VerilogPWM-IS

Description: control Pulse width modulation (PWM) using VHDL code and Block schematic.the selection switch at the FPGA board is important to control the duty cycle of PWM.For example application that can be used is to control speed dc motor.-control Pulse width modulation (PWM) using VHDL code and Block schematic.the selection switch at the FPGA board is important to control the duty cycle of PWM.For example application that can be used is to control speed dc motor.
Platform: | Size: 1931264 | Author: abdul | Hits:

[VHDL-FPGA-VerilogPWM

Description: VHDL code for PWM Generator with Variable Duty Cycle
Platform: | Size: 1024 | Author: param | Hits:

CodeBus www.codebus.net