Welcome![Sign In][Sign Up]
Location:
Search - Pulse Width Modulation Verilog source

Search list

[VHDL-FPGA-VerilogFPGAdezizhixingSPWMboChengXu

Description: 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit as the core of the power electronic devices have a wide range of applications, how to generate SPWM pulse sequence and its implementation means PWM technology is the key. Kazakhstan investigate everyone!
Platform: | Size: 4096 | Author: 小喻 | Hits:

[VHDL-FPGA-VerilogVerilog_example_of_pulse_width_modulation

Description: 学习verilog的一些资料。是脉宽调制控制的题目,以及源码和仿真文件。感觉代码风格还不错,可以学习一下。-Verilog study some of the information. Pulse width modulation control are the subject, as well as the source code and simulation files. Feel good style of code, you can study about.
Platform: | Size: 6305792 | Author: nothing | Hits:

[VHDL-FPGA-VerilogPulse_Width_Modulator_Altera_MAX_II_CPLD_Design_Ex

Description: 来自于ALTERA官方网站。 本文档详细介绍怎样利用MAX® II CPLD 来实现脉冲宽度调制(PWM)。本设计还利用了MAX II CPLD 的内部用户闪存振荡器,不需要采用专门的外部时钟。 附有verilog源程序。-From ALTERA website. This document details how to use the MAX ® II CPLD to implement pulse width modulation (PWM). This design also uses the MAX II CPLD' s internal oscillator user flash memory, without using a special external clock. With verilog source.
Platform: | Size: 291840 | Author: 无小品 | Hits:

[VHDL-FPGA-Verilogpwm_task_logic

Description: 脉冲宽度调节(pwm)的verilog源码-Pulse width modulation (pwm) the verilog source
Platform: | Size: 1024 | Author: 李桃中 | Hits:

CodeBus www.codebus.net