Welcome![Sign In][Sign Up]
Location:
Search - PWM_LED

Search list

[Other resourcePWM_LED

Description: PWM_LED的开发 vhdl编程-PWM_LED the development of VHDL programming
Platform: | Size: 1697044 | Author: 周睿 | Hits:

[Other resourcePWM_LED

Description: 本程序能够在dsp2812的开发板上实现脉宽调制和LED显示
Platform: | Size: 417373 | Author: 应高峰 | Hits:

[Other resourcepwm_led

Description: 一个用PWM控制48路双色灯的程序,还没完呢,好后再传~
Platform: | Size: 889 | Author: hushoufang | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: PWM_LED的开发 vhdl编程-PWM_LED the development of VHDL programming
Platform: | Size: 1696768 | Author: 周睿 | Hits:

[DSP programPWM_LED

Description: 本程序能够在dsp2812的开发板上实现脉宽调制和LED显示-This procedure can dsp2812 realize the development of on-board pulse width modulation and the LED display
Platform: | Size: 417792 | Author: 应高峰 | Hits:

[SCMpwm_led

Description: 一个用PWM控制48路双色灯的程序,还没完呢,好后再传~-?桓 鲇肞WM ?刂?8??
Platform: | Size: 1024 | Author: hushoufang | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: 基于ALTERA公司NIOSII的LED灯控PWM IP核设计-ALTERA-based company controlled NIOSII the LED lamp PWM IP-core design
Platform: | Size: 10481664 | Author: 王超 | Hits:

[assembly languagePWM_LED

Description: PWM方式控制LED,方便各位设计LED项目的设计-PWM control of LED
Platform: | Size: 1024 | Author: wen | Hits:

[SCMPWM_LED

Description: 标准51单片机用定时器产生PWM波形实现led渐亮渐灭-Standard 51 MCU generate PWM waveforms using Timer led gradually to achieve gradual eradication of light
Platform: | Size: 12288 | Author: Zi | Hits:

[Embeded-SCM DevelopPWM_led

Description: PWM控制LED 灯做渐变的点亮(基于STC89C52单片机)-PWM control of LED lights do light gradient (based on STC89C52 SCM)
Platform: | Size: 1024 | Author: 朱志强 | Hits:

[SCMPWM_LED

Description: 这是基于AT89C52单片机的PWM控制LED渐灭渐亮的C程序。-This is based on AT89C52 microcontroller PWM control of LED light gradually getting off of C program.
Platform: | Size: 9216 | Author: 胡永涛 | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: 利用PWM控制LED亮灭的verilog程序,开发环境quartusII7.0-Using PWM control of LED light off a verilog program development environment quartusII7.0
Platform: | Size: 10486784 | Author: 杜征宇 | Hits:

[SCMPWM_LED

Description: 51单片机例程,PWM控制LED灯渐亮渐灭实验,配有实验说明文档。-51 MCU routine, PWM control of LED light gradually getting off light experiments with test documentation.
Platform: | Size: 2945024 | Author: c6000 | Hits:

[SCMPWM_LED

Description: PWM控制LED灯渐亮渐灭实验,51单片机例程,带有实验说明文档。-PWM control of LED light gradually getting off light experiment, 51 MCU routine, with the experimental documentation.
Platform: | Size: 2949120 | Author: a1001 | Hits:

[SCMPWM_LED

Description: 本程序为通过三相PWM来控制LED的汇编语言小程序-Three-phase PWM control of LED
Platform: | Size: 1024 | Author: anode2009 | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: 实用FPGA的PWM带LED显示验证,经过开发板验证功能。-Practical FPGA-PWM with LED display validation, validation through the development board.
Platform: | Size: 10487808 | Author: junlee | Hits:

[SCMPWM_LED

Description: 基于51单片机的PWM控制LED亮度,PROTUES仿真,C程序!-Based on 51 single-chip PWM control LED brightness, PROTUES simulation, C program!
Platform: | Size: 1894400 | Author: xiaok | Hits:

[VHDL-FPGA-Verilogpwm_led

Description: 脉冲宽度调制(PWM),是英文“Pulse Width Modulation”的缩写,简称脉宽调制,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。 随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM等 本程序是利用PWM进行DA转换控制 -Pulse width modulation (PWM), the abbreviation of the English " Pulse Width Modulation" , referred to as pulse width modulation, is to use the digital output of the microprocessor to control a very effective technology to analog circuits, widely used from the measurement, communications to power control and transform many areas. With the development of electronic technology, a variety of PWM technology, including: phase voltage control PWM, pulse width PWM method, random PWM, SPWM method, line voltage control PWM procedures is the use of PWM DA converter control
Platform: | Size: 1024 | Author: wql | Hits:

[Other Embeded programPWM_LED

Description: AVR单片机开发的PWM方式第三代阵列灯的项目工程源码,ICCAVR 编译,6322驱动芯片,已经应用在实际产品中,具有非常好的效果-AVR microcontroller development PWM third generation array lights project source code, ICCAVR compilation, 6322 driver chips have been used in actual products, with very good results.
Platform: | Size: 60416 | Author: 陈家振 | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: 该程序利用ISE软件实现了FPGA控制的PWM波形的发出,给了一个简单的算法,频率可调-The program utilizes the ISE software FPGA to control the issue of PWM waveform, and gave a simple algorithm, frequency adjustable
Platform: | Size: 356352 | Author: liguohui | Hits:
« 12 3 »

CodeBus www.codebus.net