Welcome![Sign In][Sign Up]
Location:
Search - PSK fpga

Search list

[Other resourcefpga-example2

Description: ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process and VHDL simulation baseband code generator program design and simulation Cymometer program design and simulation
Platform: | Size: 618922 | Author: 张伟 | Hits:

[VHDL-FPGA-Verilog4dpsk

Description: 4dbpsk系统的设计实现源码,几个朋友用一个假期的时间协作完成,功能非常好-The 4dbpsk system design realization source code, several friends complete it cooperation in one vacation time , the function is extremely good
Platform: | Size: 2048 | Author: pinksmile | Hits:

[VHDL-FPGA-Verilogfpga-example2

Description: ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process and VHDL simulation baseband code generator program design and simulation Cymometer program design and simulation
Platform: | Size: 618496 | Author: 张伟 | Hits:

[Embeded-SCM DevelopSIN_fashengqi

Description: 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(DSP)技术,通过在 Nios 中软件编程解决 不同的调制方式的实现和选择。系统频率实现 1Hz~20MHz 可调,步进达到了1Hz;完成了调幅、调频、二进制 PSK、二进制 ASK、二进制 FSK 调制和扫频输出的功能。 -2006altera race-based soft-core Nios wide spectrum of sinusoidal signal generator design : Abstract : The use of design-based Nios II embedded processor SOPC technology. Altera Corporation system to the Cyclone FPGA series of digital platform, microprocessor, bus, Digital Frequency Synthesizer, memory and I/O interface hardware concentrated in an FPGA, the use of direct digital frequency synthesis technology and digital modulation waveforms required to achieve the rise, Using FPGA ROM storage of the DDS waveform table, and make full use of on-chip resources, improve the system's accuracy, stability and robustness. Use of new digital signal processing (DSP) technology, Nios through software programming to solve different ways of achieving modulation and choice. Realize the system freq
Platform: | Size: 407552 | Author: 刘斐 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[Othereda

Description: fpga的应用,一个正弦信号发生器,可以调节频率大小,和PSK,QSK,FM,AM等调制-Application of FPGA, a sinusoidal signal generator, can adjust the frequency of size, and PSK, QSK, FM, AM modulation, etc.
Platform: | Size: 7000064 | Author: lijie | Hits:

[VHDL-FPGA-Verilogpsk

Description: 利用VHDL语言实现在,altera 公司的cyclone芯片上实现数字信号的2psk调制解调功能-The use of VHDL language to achieve, altera s cyclone chip digital signal modulation and demodulation functions 2psk
Platform: | Size: 293888 | Author: 叶峰 | Hits:

[VHDL-FPGA-Verilogfpga-fpdpsk

Description: FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and waveform selection module, the frequency of the displayed value address generator module, the frequency of stepping key core modules, bouncing the elimination of circuit
Platform: | Size: 27648 | Author: libing | Hits:

[VHDL-FPGA-Verilogelecfans.com-74783742

Description: FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
Platform: | Size: 1278976 | Author: 钟莉 | Hits:

[Software Engineeringpsk

Description: base FPGA design -PSK M rar hehe happy everyday-base FPGA design -PSK M rar hehe happy everyday......................
Platform: | Size: 131072 | Author: peter | Hits:

[Otherbs

Description: 基于FPGA的ASK,FSK,PSK及QPSK的调制与解调,并做成了系统-FPGA-based ASK, FSK, PSK and QPSK modulation and demodulation, and made the system
Platform: | Size: 353280 | Author: 张启富 | Hits:

[VHDL-FPGA-VerilogFPGA_verilog

Description: FPGA很有价值的27实例:如ASK、PSK、FSK调制与解调VHDL程序及仿真等-FPGA verilog
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 基于FPGA的通信系统调制解调,包括理论知识介绍和VHDL程序。包含2ASK ,2FSK,2PSK -FPGA-based modem communication systems, including the introduction of theoretical knowledge and the VHDL program. Contains 2ASK, 2FSK, 2PSK
Platform: | Size: 607232 | Author: songlina | Hits:

[VHDL-FPGA-VerilogAll-digital-PSK-Sample

Description: FPGA 上的嵌入式系统设计实例,SPARTEN-3E-FPGA, embedded system design example, SPARTEN-3E
Platform: | Size: 663552 | Author: lifeng | Hits:

[VHDL-FPGA-VerilogVerilog-hdlFPGA

Description: 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classic procedure
Platform: | Size: 1181696 | Author: chenfeihu | Hits:

[VHDL-FPGA-Verilogask-psk-qpsk

Description: ask,psk ,qpsk 调试解调verilog源码,是无线通信fpga设计这本书上的,比较简单的实现方式-ask, psk, qpsk debugging demodulator verilog source, is a wireless communications fpga design of this book, a relatively simple way to achieve
Platform: | Size: 5120 | Author: 陈占田 | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 包括密勒码编解码、循环码编解码、FSK和PSK调制解调-Including Miller encoding and decoding, encoding and decoding cycle, FSK and PSK modulation and demodulation
Platform: | Size: 254976 | Author: 李飞 | Hits:

[VHDL-FPGA-Verilog27个FPGA实例源代码

Description: 一些对初学者比较实用的源码,ASK,PSK,FSK调制解调(Some of the more practical source code for beginners)
Platform: | Size: 1281024 | Author: 寒夜趣味 | Hits:

[Communication-Mobile16-QAM调制系统的FPGA实现

Description: 16-QAM调制系统的FPGA实现 正交幅度调制(QAM)由于具有很高的频谱利用率被DVB-C等标准选做主要的调制技术。与多进制PSK(MPSK)调制不 同,OAM调制采取幅度与相位相结合的方式,因而可以更充分地利用信号平面,从而在具有高频谱利用效率的同时可以获得比MPSK更低的误码率。(FPGA implementation of 16-QAM modulation system)
Platform: | Size: 1392640 | Author: JF1234 | Hits:

[SCM程序-正弦信号发生器(FPGA+STM32版)

Description: 以FPGA为核心,实现正弦波、调制波AM、FM、ASK和PSK等功能,通过SPI协议与STM32通信,实现输出波形的选择、频率的设置和基带信号的设定等。(With FPGA as the core, the functions of sine wave, modulation wave AM.FM. ASK and PSK are realized. The output waveform selection, frequency setting and baseband signal setting are realized by SPI protocol and STM32 communication.)
Platform: | Size: 4453376 | Author: sun.smile | Hits:
« 12 »

CodeBus www.codebus.net