Welcome![Sign In][Sign Up]
Location:
Search - FPGA SPWM VHDL

Search list

[VHDL-FPGA-VerilogPLL

Description: PLL 时钟模块  Quartus II平台的简单设计实例 附仿真波形-PLL clock module Quartus II platform attached to a simple design example simulation waveforms
Platform: | Size: 806912 | Author: 许东滨 | Hits:

[VHDL-FPGA-VerilogFPGAdezizhixingSPWMboChengXu

Description: 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit as the core of the power electronic devices have a wide range of applications, how to generate SPWM pulse sequence and its implementation means PWM technology is the key. Kazakhstan investigate everyone!
Platform: | Size: 4096 | Author: 小喻 | Hits:

[VHDL-FPGA-VerilogSPWM_ADC_LCD

Description:
Platform: | Size: 125952 | Author: zyb | Hits:

[VHDL-FPGA-VerilogSPWM

Description: VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
Platform: | Size: 7168 | Author: zyb | Hits:

[Software EngineeringFPGA-basedmulti-channelSPWMControllerResearchandDe

Description: 基于FPGA的多路SPWM控制器的研究与设计,收费硕士论文,文章详细研究了SPWM控制器的VHDL实现.-FPGA-based multi-channel SPWM Controller Research and Design, charges master paper, the article detailed study of the SPWM controller VHDL implementation.
Platform: | Size: 1847296 | Author: Jiang Guangxiu | Hits:

[VHDL-FPGA-VerilogFPGA

Description: spwm dcac逆变 fpga与单片机一起作用-sdad
Platform: | Size: 2644992 | Author: YZ | Hits:

[VHDL-FPGA-Verilogspwm

Description: 关于SPWM调制设计VHDL代码 关于SPWM调制设计VHDL代码-SPWM modulation on the design of VHDL code design on the VHDL code modulation SPWM
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilogspwmlast

Description: 基于fpga的spwm波形产生代码-On fpga SPWM waveform code
Platform: | Size: 568320 | Author: 王子 | Hits:

[VHDL-FPGA-VerilogSPWM

Description: 基于FPGA的正弦脉宽调制波vhdl代码,同时输出正弦波与SPWM-Sine pulse width modulation wave VHDL code based on FPGA, at the same time with SPWM output sine wave
Platform: | Size: 1134592 | Author: 黄文举 | Hits:

CodeBus www.codebus.net