Welcome![Sign In][Sign Up]
Location:
Search - FPGA SDRAM I

Search list

[VHDL-FPGA-Verilogsdram_control

Description: 这是我从网上找到的用vhdl语言写的sdram控制器的代码。我的邮箱:wleechina@163.com-This is what I found online vhdl language used to write the sdram controller code. My mail : wleechina@163.com
Platform: | Size: 339968 | Author: 李伟 | Hits:

[VHDL-FPGA-Verilogddr

Description: ISE MIG1.6 生成的DDR SDRAM控制器代码(含TESHBENCH)
Platform: | Size: 1022976 | Author: yuling | Hits:

[VHDL-FPGA-VerilogH1wQqGvI

Description: 详细介绍了ALTERA器件的IP CORE以及如何使用SDR SDRAM CONTROL-Described in detail ALTERA device IP CORE and how to use SDR SDRAM CONTROL
Platform: | Size: 777216 | Author: 黄辉辉 | Hits:

[VHDL-FPGA-VerilogFaultToleranceTechniquesforSRAMBasedFPGAs

Description: 基于FPGA的SDRAM设计,相信大家都会感兴趣!原版的外文书-FPGA-based SDRAM design, I believe we all are interested! Outside the original instrument
Platform: | Size: 6116352 | Author: 邓振淼 | Hits:

[VHDL-FPGA-VerilogEDAhelper

Description: 因而,SDRAM常作为数据缓存应用于高速数据传输系统中。目前,许多嵌入式设备的大容量、高速度存储器都采用SDRAM来实现,而且大多都是用专用芯片完成其控制电路,这不但提高了设计成本,而且使系统的硬件电路变得复杂。随着FPGA在嵌入式系统中的广泛应用,如果我们能够结合具体的需要,利用FPGA来设计自己的SDRAM控制器,这些问题就迎刃而解了-During University I studied computer networks have some knowledge about computers, I seriously can bear hardships and stand hard work, self-motivated. After engaging in the service industry so I have learned patience, tolerance and self-control. What a year of sales experience I learned how to communicate with people, as well as self-learning. I am a person of honor and promised to do certain things other people do. Into action to appreciate the language of the people, hate the language into action.
Platform: | Size: 75776 | Author: 宁欣 | Hits:

[File FormatSDRAM

Description: 基于FPGA的SDRAM读写驱动的源代码,多年收集的,希望对大家有帮助-FPGA-based SDRAM read and write driver source code, collected over the years, and I hope to help everyone
Platform: | Size: 2628608 | Author: xiaokai | Hits:

[VHDL-FPGA-VerilogSDRAM_Con

Description: 这是关于一篇怎样设计SDRAM的一篇论文,希望对学习FPGA中SDRAM的朋友有帮助。-This is about how to design an SDRAM in a paper, I hope to learn the FPGA SDRAM to help a friend.
Platform: | Size: 717824 | Author: jacksee | Hits:

[VHDL-FPGA-VerilogSDRAM-controler-based-on-the-FPGA

Description: 本例是用FPGA器件实现SDRAM操作,所用语言为verilog硬件描述语言,希望可以对学习FPGA的人起到帮助作用-In this case is to achieve SDRAM operating with FPGA devices, and use of language verilog hardware description language, I hope people can learn to play FPGA helpful
Platform: | Size: 4372480 | Author: PrudentMe | Hits:

CodeBus www.codebus.net