Welcome![Sign In][Sign Up]
Location:
Search - ENC-03JA

Search list

[assembly languageenc

Description: 文件加密程序,汇编语言编写程序,源代码基本代码-File encryption procedures, assembly language programming, source code basic code
Platform: | Size: 1024 | Author: | Hits:

[OtherENC-DEC

Description: 基于vb6.0的文件加密源码,将文本加密-Based on VB6.0 source of file encryption, text encryption
Platform: | Size: 2048 | Author: dshdsh | Hits:

[Delphi/CppBuilderENC-03JA

Description: This product is an angular velocity sensor that uses the phenomenon of Coriolis force, which is generated when a rotational angular velocity is applied to the vibrator. Murata s original, small ceramic bimorph vibrator and simple Cap-Base structure realize their ultra-small size, under 0.1cc. Their small and lightweight shape increase flexibility of installment and help your apparatuses to be downsized. They are surface mountable device, can be mounted by automatic surface mounter.
Platform: | Size: 281600 | Author: 11 | Hits:

[Embeded-SCM DevelopATMEAG32-ENC28J60

Description: ENC28J60+ATMEAG32,enc于ATMEAG32相连,实现网络连接-ENC28J60+ ATMEAG32, enc in ATMEAG32 connected network connectivity
Platform: | Size: 305152 | Author: 孙凌俊 | Hits:

CodeBus www.codebus.net