Welcome![Sign In][Sign Up]
Location:
Search - Dff

Search list

[Other resourcecodeofvhdl2006

Description: 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】 - [ Classics design ] the VHDL source code downloads ~ ~ classics the design to include: [ Vending machine ], [ electron clock ], [ traffic light traffic signal system ], [ step of 杩涚數 machine localization control system ], [ direct current machine speed control system ], [ calculator ], [ array LED display control system ] the basic numeral logical design includes: [ Latch ], [ multichannel selector ], [ 涓夋
Platform: | Size: 44186 | Author: senkong | Hits:

[Other resourcefdfd

Description: dff有关二次开发的问题-ctlibs the secondary development issues
Platform: | Size: 83541 | Author: 张明 | Hits:

[Other resourcedff

Description: DSP 应用编程 快速变换的C语言编程,好的历程.
Platform: | Size: 18009 | Author: 张光山 | Hits:

[JSP/Javadff-000

Description: JAVA游戏毕业设计毕业论文 适合本科专科计算机专业毕业设计参考
Platform: | Size: 530571 | Author: ycy | Hits:

[Othera VHDL Compiler

Description: 这是一个VHDL(硬件描述语言)的编译器,更确切说是一个解释器,输入是VHDL语言,输出是经过提到后的符号表,也就是将VHDL中的重要变量比如输入输出变量和DFF等保存下来。-This is a VHDL (hardware description language) compiler, more precise explanation is a device that is VHDL input, output was mentioned after the symbol table to VHDL is the important variables such as input and output variables and other DFF preserved.
Platform: | Size: 118091 | Author: gepo | Hits:

[SourceCodeGERBTOOL指令教程

Description: 在PCB行业里,对上市时间、品质及降低成本等等有越来越大的各种要求及压力!因此,今天无论你是一个设计者或是板厂制造人员都非常需要一套简单易用的软件产品让您在产品投产前先做检测或是依照生产规格做更细的检查动作。 GerbTool是一套PCB CAM的编辑和分析软件包,从基础的载图查询到强大的DRC检查和DFM分析,提供您制造出高品质电路版所需的各种功能,并能加快产品上市的时程,正如Gerbtool 的产品名称,他可以处理传统的GERBER档作为跟板厂间的传递格式。但是别忘记为了要能配合新的流程和架构及传递更多的信息,现在GerbTool也可支持ODB++、ODB++(X) 及 IPC-2581等等智能型格式。 为了要做最后的确认,GerbTool可以从GERBER萃取出联机关系再与CAD的IPC-D-356(A)比对,也可转出生产与组装所需的文件或铣刀等磨边程序,排版的功能可让您很方便的排连片并可套用排版范例文件,在排版范例文件中可包括各种的图样、档名框、定位孔、试钻孔及其它数据,您还可以把不同的图档多片套入同一排版档中,使用GerbTool,设计者可以进一步的确认优化和更有效率地准备生产制造所需的数据。同样的板厂制造人员也可以利用它的DRC、MRC、DFF轻松的完成工作!
Platform: | Size: 1686651 | Author: sxllpl | Hits:

[Othera VHDL Compiler

Description: 这是一个VHDL(硬件描述语言)的编译器,更确切说是一个解释器,输入是VHDL语言,输出是经过提到后的符号表,也就是将VHDL中的重要变量比如输入输出变量和DFF等保存下来。-This is a VHDL (hardware description language) compiler, more precise explanation is a device that is VHDL input, output was mentioned after the symbol table to VHDL is the important variables such as input and output variables and other DFF preserved.
Platform: | Size: 117760 | Author: gepo | Hits:

[VHDL-FPGA-Verilogcodeofvhdl2006

Description: 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】 - [ Classics design ] the VHDL source code downloads ~ ~ classics the design to include: [ Vending machine ], [ electron clock ], [ traffic light traffic signal system ], [ step of 杩涚數 machine localization control system ], [ direct current machine speed control system ], [ calculator ], [ array LED display control system ] the basic numeral logical design includes: [ Latch ], [ multichannel selector ], [ 涓夋
Platform: | Size: 44032 | Author: senkong | Hits:

[Software Engineeringfdfd

Description: dff有关二次开发的问题-ctlibs the secondary development issues
Platform: | Size: 82944 | Author: 张明 | Hits:

[Other systemsdff

Description: DSP 应用编程 快速变换的C语言编程,好的历程.-DSP application programming rapid transformation of C language programming, a good course.
Platform: | Size: 17408 | Author: 张光山 | Hits:

[JSP/Javadff-000

Description: JAVA游戏毕业设计毕业论文 适合本科专科计算机专业毕业设计参考-JAVA game design graduate thesis for undergraduate computer specialist graduate design reference
Platform: | Size: 530432 | Author: ycy | Hits:

[VHDL-FPGA-Verilogdff

Description: 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
Platform: | Size: 1024 | Author: daniel | Hits:

[Windows DevelopbooksearchView

Description: 该程序实现全国普通高校的图书馆的图书管理,非常的不错-DFF SDA FSDF AS FS FAS FGDFGDGFDGDFG FG DGDFG GF GDFG G DF DF GF DF
Platform: | Size: 2048 | Author: guansheng | Hits:

[Windows Developdff

Description: verilog, d-flipfliop, d-verilog, d-flipfliop, dff
Platform: | Size: 1024 | Author: min | Hits:

[VHDL-FPGA-Verilogdff

Description: 关于DFF的FPGA实现,有VHDL源码-On the DFF of the FPGA implementation, there are VHDL source code
Platform: | Size: 115712 | Author: 123 | Hits:

[VHDL-FPGA-VerilogDFF

Description: 一个可用的D触发器 里面还有波形 本人仿真过 可用-DFF
Platform: | Size: 187392 | Author: gcc | Hits:

[VHDL-FPGA-Verilogdff

Description: D触发器,以模块输入形式,仿真得到时序图、功能图-The simulation example of dff
Platform: | Size: 118784 | Author: beginner | Hits:

[OtherDFF

Description: 基于传输门结构的D触发器的建立时间和保持时间测量-the measure of DFF s setup time and hold time
Platform: | Size: 19577856 | Author: renxiaowei | Hits:

[VHDL-FPGA-VerilogDFF

Description: a vhdl source code for dff
Platform: | Size: 217088 | Author: maleki | Hits:

[OtherDFF

Description: 用dff理论来获得图像的三维模型,先对光场图像进行重聚焦,进而生成深度图,再进行重建(DFF theory is used to obtain the 3D model of the image. Firstly, the light field image is re focused, then the depth map is generated, and then reconstructed)
Platform: | Size: 28549120 | Author: mamamM | Hits:
« 12 3 4 »

CodeBus www.codebus.net