Welcome![Sign In][Sign Up]
Location:
Search - AHB bridge

Search list

[Otherahb_system_generator.tar

Description: An AHB system is made of masters slaves and interconnections. A general approach to include all possible \"muxed\" implementation of multi layered AHB systems and arbitrated AHB ones can be thought as an acyclic graph where every source node is a master, every destination node is a slave and every internal node is an arbiter there must be one and only one arc exiting a master and one or more entering a slave (single slave verus multi-slave or arbitrated slave) an arbiter can have as many input and output connections as needed. A bridge is a special node that collapses one or more slave nodes and a master node in a new \"complex\" node.
Platform: | Size: 268999 | Author: 木石 | Hits:

[Otherahb_system_generator.tar

Description: An AHB system is made of masters slaves and interconnections. A general approach to include all possible "muxed" implementation of multi layered AHB systems and arbitrated AHB ones can be thought as an acyclic graph where every source node is a master, every destination node is a slave and every internal node is an arbiter there must be one and only one arc exiting a master and one or more entering a slave (single slave verus multi-slave or arbitrated slave) an arbiter can have as many input and output connections as needed. A bridge is a special node that collapses one or more slave nodes and a master node in a new "complex" node.
Platform: | Size: 269312 | Author: 木石 | Hits:

[VHDL-FPGA-Verilogahbapb

Description: AMBA2.0标准的AHB2APb桥,代码通过验证-AMBA2.0 standard AHB2APb Bridge, through the verification code
Platform: | Size: 4096 | Author: LIANG | Hits:

[Otherahb2ahb

Description: AMBA总线AHB TO AHB bridge-AMBA bus AHB TO AHB bridge
Platform: | Size: 2048 | Author: xiaoheng | Hits:

[ARM-PowerPC-ColdFire-MIPSapb_bridge

Description: arm ambm 2.0 primecell算法 ahb 与 apb通讯的转换模块-arm ambm 2.0 primecell algorithm ahb conversion and communications module apb
Platform: | Size: 2048 | Author: sunk | Hits:

[VHDL-FPGA-Verilogapb2ahb

Description: verilog code for apb to ahb convert
Platform: | Size: 1024 | Author: peng | Hits:

[Otherahb2pvci

Description: ahb to pvci bridge, free code
Platform: | Size: 4096 | Author: lai | Hits:

[VHDL-FPGA-VerilogAHBtoAPB

Description: amba总线桥:ahb to asb!verilog hdl文档加代码,非常全,soc-amba bus bridge: ahb to asb! verilog hdl code for the document plus a very full, soc
Platform: | Size: 165888 | Author: zhangyiyun | Hits:

[VHDL-FPGA-VerilogAMBA-Bus_Verilog_Model

Description: 该源码包是2.0版本的AMBA总线的Verilog语言模型,主要包括5个部分:AHB总线仲裁器,AHB-APB总线桥接器,AHB总线上从设备ROM模型,AHB总线上从设备RAM模型,参数定义。-This source code package is the model of V2.0 AMBA bus of ARM company, It mainly includes the following five parts: the AHB arbiter,AHB-APB bridge, AHB_Rom_Slave, AHB_Ram_Slave,Defines.
Platform: | Size: 17408 | Author: jinjin | Hits:

[VHDL-FPGA-VerilogAHB_to_Wishbone_Verilog

Description: 该源代码包是AHB总线到Wishbone总线的交接器,包括以下4个部分:RTL源代码,测试平台,软件测试程序,说明文档。-This source package is the AHB bus to Wishbone bus bridge(wrapper).It has the following 4 parts: RTL codes, testbench, software simulating files, help documents.
Platform: | Size: 2077696 | Author: jinjin | Hits:

[VHDL-FPGA-VerilogAN123

Description: AMBA Application Note: AN123 - Logic Tile IT1 GPIO example design. -Application note AN123 provides all of the AHB slave features of AN119 with the addition of five 32bit AHB GPIO slaves. The GPIO interfaces are used to configure and test an IT1 board. The following board combinations are supported: Core Module+ Integrator/IM-LT1+ LT-XC2V6000+ IT1 Core Module+ Integrator/IM-LT1+ LT-XC2V8000+ IT1 Integrator/CP+ Core Module+ Integrator/IM-LT1+ LT-XC2V6000+ IT1 Integrator/CP+ Core Module+ Integrator/IM-LT1+ LT-XC2V8000+ IT1 PB926EJ-S+ LT-XC2V6000+ IT1 PB926EJ-S+ LT-XC2V8000+ IT1 Asynchronous and Synchronous bridge modes are supported on the PB926EJ-S with different design images. Asynchronous mode is selected using SW1[3] on the PB926EJ-S.
Platform: | Size: 4482048 | Author: 余曉民 | Hits:

[VHDL-FPGA-VerilogAHB2APB_bridge

Description: 倍频算法实现了AHB-to-APB桥接器-An ahb2apb bridge with doubling algorithm
Platform: | Size: 4096 | Author: 韩苗菲 | Hits:

[Software EngineeringAHBtoAPB

Description: AHBtoAPB设计基于AMBA总线协议的APB Bridge设计-AHB to APB designThe AHB to APB bridge interface is an AHB slave. When accessed (in normal operation or system test) it initiates an access to the APB.
Platform: | Size: 114688 | Author: 李雷 | Hits:

[VHDL-FPGA-Verilogwb_to_amba_latest[1].tar

Description: ahb总线到wishbone总线的桥接器,包括一个testbench,该版本暂不支持burst操作-A AHB master to WishBone slave bridge along with a basic testbench is included. Burst in not yet supported
Platform: | Size: 11264 | Author: hxr | Hits:

[Program docAHB_paper

Description: AHB 总线规范是 AMBA 总线规范的一部分。AMBA 总线规范是 ARM 公司提出的总 线规范,被大多数 SoC 设计采用,它规定了 AHB(Advanced High-performance Bus)ASB (Advanced System Bus)APB(Advanced Peripheral Bus)。AHB 用于高性能、高时钟频率的 系统结构,典型的应用如 ARM 核与系统内部的高速 RAM,Nand Flash,DMA,Bridge 的 链接。APB 用于连接外部设备,对性能要求不高,而考虑低功耗问题。ASB 是 AHB 的一种 替代方案,没有关注过它的使用,也没有见过其实际使用范例。因项目需要,阅读 AHB 总 线规范,并做读书笔记。-AHB(Advanced High-performance Bus)
Platform: | Size: 442368 | Author: noctune | Hits:

[Successful incentive2C-SDK-Espressif-IoT-SDK-Programming-Guide_v1.0.0

Description: ESP8266 WiFi SoC offers a complete and self-contained Wi-Fi networking solution it can be used to host the application or to offload Wi-Fi networking functions another application processor. When ESP8266 hosts the application, it boots up directly an external flash. In has integrated cache to improve the performance of the system in such applications. Alternately, serving as a Wi-Fi adapter, wireless internet access can be added to any microcontroller-based design with simple connectivity through UART interface or the CPU AHB bridge interface.-ESP8266 WiFi SoC offers a complete and self-contained Wi-Fi networking solution it can be used to host the application or to offload Wi-Fi networking functions another application processor. When ESP8266 hosts the application, it boots up directly an external flash. In has integrated cache to improve the performance of the system in such applications. Alternately, serving as a Wi-Fi adapter, wireless internet access can be added to any microcontroller-based design with simple connectivity through UART interface or the CPU AHB bridge interface.
Platform: | Size: 624640 | Author: mayank | Hits:

[Linux-Unixregs-pci

Description: PKUnity AHB-PCI Bridge Registers.
Platform: | Size: 1024 | Author: 影剧院 | Hits:

[VHDL-FPGA-VerilogAMBA

Description: AMBA总线的Verilog语言模型,主要包括5个部分:AHB总线仲裁器,AHB-APB总线桥接器,AHB总线上从设备ROM模型-AMBA bus of ARM company, It mainly includes the following five parts: the AHB arbiter,AHB-APB bridge, AHB_Rom_Slave, AHB_Ram_Slave
Platform: | Size: 17408 | Author: zhch26 | Hits:

[Otherahb2wishbone_latest.tar

Description: AHB to wishbone bridge verilog
Platform: | Size: 10638336 | Author: Sheth | Hits:

CodeBus www.codebus.net