Welcome![Sign In][Sign Up]
Location:
Search - I2C_Verilog.rar

Search list

[OtherI2C_Verilog

Description: I2C(Intel-Integrated Circuit bus)为内部IC控制的双向串行总线,用于连接微控制器及其外围设备的互连。该程序用Verilog HDL语言来实现FPGA模拟I2C协议作为主端对I2C从设备进行读/写操作。-I2C (Intel-Integrated Circuit bus) control IC for internal bi-directional serial bus for connecting micro-controller and its peripheral equipment interconnection. The program with the Verilog HDL language to achieve the FPGA simulation of I2C protocol as the main terminal from the device to the I2C read/write operations.
Platform: | Size: 17408 | Author: wang | Hits:

CodeBus www.codebus.net